SDI fabsurplus.com February 2009 Sale

"Dear Friends and Clients,

This month we have many exciting items of equipment on sale that will grab your attention.
We have so many new items on sale, that this month, we are featuring only these new items for sale that you will find below."

"The equipment includes some state of the art 300 mm equipment, a complete 300 mm wafer fab, many items of advanced assembly and test equipment and much more."

Featured Items for sale from SDI/FABSURPLUS

http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22627
Manufacturer: Complete Solar Cell Manufacturing Line
Type: whole manufacturing line for solar cells Equipment age: 2002
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23656
Manufacturer: Complete 12 inch wafer fab
Condition: excellent
Vintage: Jun 2004 and newer
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23657
Partial 200 mm Front end wafer fab line
A total of 56 items of advanced front-end and test equipment.
All equipment is in excellent condition, in the phase of deinstallation over the next month.
 
Special Featured Fabsurplus.com Assembly and Test Equipment Clearance
*********************************************************************
http://www.fabsurplus.com/sdi_catalog/salesItemList.do?listTypeId=46
Exclusively and on behalf of our European based clients, SDI-Fabsurplus Group is pleased to announce the following late model assembly and test equipment facility sale, including -More than 600 line items -K and S Maxum bonders, -Advantest test systems, -Disco dicing saws and other state of the art assembly and test equipment .
Inspections are welcome by appointment. Equipment ages from 1995 to May 2008.
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22105
ADVANTEST T5585 TEST SYSTEM Jun 2001
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22100
ADVANTEST T5581D TEST SYSTEM Jan 1997 QTY 4
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22107
ADVANTEST T5593 TEST SYSTEM Jun 2005
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22146
AGILENT 5DX X-RAY SYSTEM  as new Jun 2005
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22247
BRANSON ULTRASONIC WELDER
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23146
Buehler Abrasimatic 2 Automatic Abrasive Cutter
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23145
Buehler Ecomet 3 Grinder
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23147
Buehler Isomet Low Speed Saw
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23149
Buehler Metalap 2000 Fluid Dispenser
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23148
Buehler Metaserv 2 Motopol 12 rotary polisher
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23163
Cognex 1600 character recognition system
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23142
DAGE 5000 BOND TESTER as new Mar 2007
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22263
DISCO DFD 6360 HALF CUTTING DICING SAW Feb 2003
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22264
DISCO DFD 640 DICING SAW Jun 1997
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22265
DISCO DFD 641 DICING SAW qty 2 Jun 1997
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22267
DISCO DFD 660 DICING SAW Jun 2001
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22269
DISCO DFG 841 AUTOMATIC GRINDER Dec 1997 qty 2
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22270
DISCO DFG 860 BACK GRINDER Sep 2007
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23164
Eichhorn and Hausmann MX203 - 8 Wafer Geometry Gauge Jun 1996
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23151
Fluke PM 3082 Digital oscilloscope
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22360
ISMECA TRAY TO TRAY TAPE & REEL MACHINE - ISMECA
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22364
JEOL JSM 5600LV SCANNING ELECTRON MICROSCOPE w/ Oxford EDX
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22365
K AND S MAXUM PLUS WIRE BONDER qty 8 vintage Jun 2004
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22373
K AND S MAXUM ULTRA WIRE BONDER Apr 2007 qty 7
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22386
LINTEC LTD 2500 FULLY AUTOMATIC TAPER AND PEELER
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23165
Lintec RAD 2000 M 8 UV Irradiator
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23167
Lintec RAD2500 M/8 Wafer Mount System FOR DICING
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22387
LINTEC RAD 3500 FULLY AUTOMATIC LAMINATOR Feb 2003
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23162
Mazzali Cleanroom Oven
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22402
MINAMI MK 880 SV FULLY AUTOMATIC SCREEN PRINTER qty 2
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23168
Nikon Measurescope MM11 measurement microscope
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23155
Nikon Optiphot 2 Trinocular Microscope with 6 inch manual stage
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23154
Nikon Opiphot Trinocular Microscope with 6 inch manual stage
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22453
NIKON SMZ800 STEREO MICROSCOPE Jan 2007
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22452
NIKON SMZ1500 MICROSCOPE QTY 2
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23157
Nikon SMZ-U INSPECTION MICROSCOPE ZOOM 1:10 WITH KL1500 ILLUMINATOR
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23152
Nikon V12B optical comparator
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22454
NITTO DR 8500  TAPER Jan 1998
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22455
NITTO HR 8500 DETAPER Jun 1998
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=22456
NITTO MSA 840 WAFER ATTACH Jun 2000
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23169
Olympus SZ40 stereo microscope on stand
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23159
Polaron THERMO VG SCIENTIFIC SC 7620 Sputter Coater for sample prep
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23160
Sonoscan D6000 C-SAM Scanning Acoustic Microscope
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23161
Vision Engineering VS 7 SMT inspection Dynascope system
 
SPECIALLY FEATURED FRONT END EQUIPMENT
**************************************
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23447
APPLIED MATERIALS CENTURA 5200 DPS 2 POLY ETCHER 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23443
APPLIED MATERIALS CENTURA 5200 Emax CT OXIDE ETCHER 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23626
APPLIED MATERIALS CENTURA 5200 RTP WITH 2 CHAMBERS RADIANCE 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23379
APPLIED MATERIALS ENDURA 5500 (Chamber) ALPS AlCu refurb.ed PVD Chamber
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23623
APPLIED MATERIALS ENDURA 5500 PVD ALPS 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23398
APPLIED MATERIALS REFLEXION CMP 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23181
Applied Materials Mirra Mesa Tungsten CMP 200mm Aug 2008
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23192
ASML Twinscan AT1200B193 NM SCANNER, (.85 NA), 200MM Sep 2003
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23354
ASML TwinScan AT:1100B Scanner-ARF
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23531
ASML XT1250 193 NM DUV LITHOGRAPHY SCANNER 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23543
August CV9812 FOUP INSPECTION TOOL
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23341
Aviza Celsior ALD
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23515
AXCELIS HC3 ULTRA HC LE IMPLANTER 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23516
AXCELIS PARADIGM HIGH ENERGY IMPLANTER 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23628
AXCELIS SUMMIT XT RAPID THERMAL PROCESSING SYSTEM 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23181
Canon FPA 2500 I3 I LINE STEPPER 4 INCH qty 5
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=21338
DNS SU3000 AQUASPIN double cleaning system 200 MM Jan 2004
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23546
FEI STRATA 400 DUAL BEAM FIB SEM
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23183
Hitachi S5000 FE SEM with 2ndry Electron, EDS and STEM Jun 1999
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23552
KLA-TENCOR 2365 BRIGHTFIELD WAFER DEFECT INSPECTION 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23554
KLA-TENCOR LDS3300 MACRO DEFECT INSPECTION TOOL 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23555
KLA-TENCOR PUMA 9130 DARKFIELD WAFER INSPECTION 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23557
KLA-TENCOR RS100 Metrology 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23561
KLA-TENCOR SP1 DLS INSPECTION 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23328
KLA TENCOR 6200 wafer surface inspection Jan 1999
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23343
LAM RESEARCH 9400PTX ALLIANCE 9400 ETCHER WITH 4 X PTX CHAMBERS
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23451
LAM RESEARCH EXELAN ETCHER 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23452
LAM RESEARCH VERSYS POLY ETCHER 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23390
MATTSON ASPEN 3 ICP ASHER 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23629
MATTSON HELIOS RTP HIGH K 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23533
NIKON SF130 I LINE STEPPER 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23329
NOVELLUS C2 SEQUEL S CVD 200 mm new unused Dec 2007
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23330
OAI 8008 MASK ALIGNER W/BACK SIDE ALIGN 200 mm new unused Dec 2007
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23519
RIGAKU X300 TXRF 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23647
SEMITOOL RAIDER WAFER CLEANING TOOL 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23648
SEZ DAVINCI WET 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23575
SONOSCAN D9000 SCANNING ACOUSTIC MICROSCOPE 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23436
TEL TOKYO ELECTRON 303I FURNACE 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23528
TEL TOKYO ELECTRON LITHIUS ARF TRACK 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23527
TEL TOKYO ELECTRON LITHIUS I LINE TRACK 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23460
TEL TOKYO ELECTRON SCCM ETCHER 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23384
TEL TOKYO ELECTRON UW200Z WET CLEANING TOOL
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23654
TEL TOKYO ELECTRON UW300 SC1 WET BENCH 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23144
TEKTRONIX 576 Curve Tracer
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23577
THERMAWAVE TP630 Metrology Implant Dose Monitor 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23359
23359 Vistec LDS3300 Merged Trench
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23518
VARIAN VIISTA HIGH CURRENT IMPLANTER 300 mm
 
http://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=23578
VEECO VX340 ATOMIC FORCE PROFILER 300 mm
 
 

WANTED FOR PURCHASE

Europlacer Vitesse
Perkin Elmer 4400
Multitest MT 9928
NICOLET MICRO RAMAN
Temperature Cycle oven -65C to +200
High Temperature Storage Oven (Dry Heat) 400C
High Temperature Reverse Bias oven (dry heat) 400V

Search for the above items and more in the Buy Equipment page.