fabsurplus.com




Equipment for Sale - Recently Added - November 2016





November 15th, 2016



Dear Customers and Friends,

Established in 1998, Fabsurplus.com is one of the most popular web markets for used Semiconductor, Test, Assembly, SMT and Solar equipment.
We have sales agents and offices across Europe, America and Asia. We are currently getting about 2,000 visits a day to our web market place.

Please find below a list of recently added equipment for sale.
I also attach a short list of spares available, located at our warehouses in Italy and the USA.
There are many more items listed for sale at our website, www.fabsurplus.com

If you'd like to buy any items, follow the link to the items page and send us an inquiry using the "Send me more details about this item" button.
We look forwards to getting your equipment requests very soon.


RECENTLY ADDED EQUIPMENT FOR SALE - EXCEL (.XLS) FORMAT

SPARES FOR SALE - EXCEL (.XLS) FORMAT

RECENTLY ADDED EQUIPMENT FOR SALE


Link Manufacturer Model Description Q.ty Version Vintage Sales conditions
56026 10MW Solar Cell Line Monocyrstalline 10MW Solar Cell Manufacturing line with PECVD 1 156 mm 01.01.2010 as is where is
83518 6 inch complete Wafer Fab Line for MEMS production 1 150 mm   as is where is
80335 Accretech / TSK PG200RM Back Grinder 1 200 mm 01.06.2003 as is where is
71858 Accretech TSK APM 90A Automated Wafer Prober 1 200 mm 01.06.1999 as is where is
54226 Accretech TSK MHF300L Test head manipulators 7 200 mm 01.06.1999 as is where is
81885 ADE NANOMAPPER NANOMAPPER 1 300 mm 01.01.2006 as is where is
83727 ADE Ultragage 9520 Wafer thickness measurement 1 150 mm - 200 mm 01.06.2000 as is where is
82961 ADT ADT 7100 Saw Machine 1 200 mm 01.06.2008 as is where is
82962 ADT ADT 7100 Saw Machine 1 200 mm 01.06.2008 as is where is
82963 ADT ADT 7100 Saw Machine 1 200 mm 01.06.2008 as is where is
82964 ADT ADT 955 UV insulator 1 200 mm 01.06.2008 as is where is
78639 Advantest T5335P (Spares) Spare Boards from test system 1 for spares use 01.12.1997 as is where is
83780 ADVANTEST T5371 Automated test equipment 1 300 mm 01.01.2001 as is where is
83781 ADVANTEST T5371 Automated test equipment 1 300 mm 01.01.2001 as is where is
83782 ADVANTEST T5371 Automated test equipment 1 300 mm 01.02.2001 as is where is
83783 ADVANTEST T5371 Automated test equipment 1 300 mm 01.07.2000 as is where is
79588 Agilent 1671G Logic Analyzer 1 test 01.10.2007 as is where is
79589 Agilent 1671G Logic Analyzer 1 test 01.10.2008 as is where is
76605 Agilent 41501B Parameter analyzer 1 200 mm 01.06.2001 as is where is
83650 Aixtron G3 MOCVD reactor 1 4 inch 01.06.2007 inquire
83651 Aixtron G3 MOCVD reactor 1 4 inch 01.06.2007 inquire
83652 Aixtron G3 MOCVD reactor 1 4 inch 01.06.2006 inquire
83653 Aixtron G3 MOCVD reactor 1 4 inch 01.06.2002 inquire
83654 Aixtron G3 MOCVD reactor 1 4 inch 01.06.2003 inquire
83655 Aixtron G3 MOCVD reactor 1 4 inch 01.06.2004 inquire
83656 Aixtron G3 MOCVD reactor 1 4 inch 01.06.2006 inquire
83657 Aixtron G3 MOCVD reactor 1 4 inch 01.06.2005 inquire
83715 Akrion Gama Solvent Wet 1 8" as is where is
82283 ALTATECH ALTASIGHT Wafer Inspection 1 300 mm 01.06.2011 as is where is
83588 AMAT 0040-77544 Rorze Ergo Loader Turn Plate Mirra CMP FABS-202 AMAT 0040-77544 1 Spares   as is where is
74262 AMI Presco CP-885 PRODUCTION SCREEN PRINTER, 8"x8" 1 01.01.2008 as is where is
70644 AMI Presco MSP Integrated Solar Cell Print Line 1 156 mm   as is where is
74263 AMI Presco MSP-9155 SCREEN PRINTER 1 SOLAR 01.01.2007 as is where is
74264 AMI Presco MTF-SI Solar cell (AG) flip tool 1 01.01.2007 as is where is
82217 Amicra AIS Wafer Inker 3 Up to 5 inch 01.06.2007 as is where is
83647 Anelva / Canon I1201 CX PVD deposition system / Seed Metal Sputter Process 1 300 mm 01.12.2004 inquire
4228 ANGELANTONI T600 TU5 BAKE OVEN 1 FACILITIES 01.08.1995 as is where is
2669 ANGELANTONI T600 TU5 BAKE OVEN 1 FACILITIES 01.08.1995 as is where is
10637 Angelantoni T600 TUS Clean Room Oven 1 FACILITIES   inquire
34740 AP & S TwinStep-B H3P04 Semi-Automatic H3PO4 2 stage Megasonic QDR 1 200 mm 01.11.2005 as is where is
11568 Applied Materials 0020-0323 REV H Heat Exchanger 1 FACILITIES inquire
83691 Applied Materials CENTURA 5200 W-CVD chamber 1 8" as is where is
83681 Applied Materials CENTURA 5200 W-CVD 3 chambers 1 8"   as is where is
82245 Applied Materials CENTURA 5200 DLH CVD 1 8" 01.06.1996 as is where is
83692 Applied Materials CENTURA HDP 5300 CVD 1 8"   as is where is
83693 Applied Materials CENTURA HDP 5300 CVD 1 8" as is where is
83694 Applied Materials CENTURA HDP 5300 CVD 1 8"   as is where is
82244 Applied Materials CENTURA WSI CHAMBER CVD 1 12"   as is where is
82275 Applied Materials CENTURA_DPN (2 RTP & 2 DPN) RTP 1 12" 01.06.2004 as is where is
82248 Applied Materials CENTURA_P2 SUPER-e_3CH Dry etcher 1 8" 01.06.1996 as is where is
83699 Applied Materials CX 200 Brightfield Inspection 1 8" as is where is
82287 Applied Materials DPS II chamber chamber only 10 300mm as is where is
82677 Applied Materials DPSII AE Poly G3 ETCH POLY 1 300 mm 01.06.2006 as is where is
82288 Applied Materials eMax chamber chamber only 5 300mm   as is where is
83766 Applied Materials ENDURA 2 (CHAMBER ONLY) Metal Deposition  Chamber C 1 300 mm 01.07.2016 as is where is
83767 Applied Materials ENDURA 2 (CHAMBER ONLY) Metal Deposition - Chamber D 1 300 mm 01.07.2016 as is where is
83658 Applied Materials Endura 2 (Chamber) MOCVD chamber 1 12 inch   inquire
83659 Applied Materials Endura 2 (Chamber) MOCVD chamber 1 12 inch as is where is
83661 Applied Materials Endura 2 (Chamber) PVD chamber - GBL / Degas 1 12   inquire
82268 Applied Materials ENDURA 5500 PVD 1 6" 01.06.1999 as is where is
83674 Applied Materials Endura 5500 Sputter / PVD TiN process 1 8" as is where is
76657 Applied Materials NANOSEM 3D CD SEM with 3 D capability 1 200 mm 01.07.2002 as is all rebuilt
83514 Applied Materials Opal 7830 CD-SEM 1 200 mm 01.06.1996 as is where is
3419 Applied Materials P5000 CVD System, 2 Chamber TEOS Oxide CVD 1 200 MM 01.01.1994 inquire
82249 Applied Materials P5000 MxP Metal etcher 1 6" as is where is
82246 Applied Materials P5000 MxP METAL Dry etcher 1 6" 01.06.1997 as is where is
82247 Applied Materials P5000 MxP METAL (2 METAL & 1 ASP) Dry etcher 1 6" as is where is
83671 Applied Materials Producer CVD 1 8"   as is where is
83755 Applied Materials PRODUCER GT CVD 1 300 mm 01.09.2011 as is where is
82678 Applied Materials PRODUCER GT(Chamber) CLEAN 1 300 mm as is where is
83598 Applied Materials Producer SE CVD system, with Dual Twin chambers, BPSG process 1 300 mm 01.06.2003 as is where is
83648 Applied Materials Producer SE HARP / USG Deposition System 1 300 mm 01.06.2002 inquire
82242 Applied Materials PRODUCER_SE_2CH CVD 1 12" 01.06.2007 as is where is
82243 Applied Materials PRODUCER_SE_2CH CVD 1 12" 01.06.2007 as is where is
83756 Applied Materials RADIANCE RTP 1 300 mm 01.10.2003 as is where is
82930 APPLIED MATERIALS REFLEXION 3600 CMP POLISHER 1 300 MM 01.06.2002 as is where is
83778 Applied Materials SEMVISION G2 SEM Defect review and analysis 1 300 mm 01.05.2003 as is where is
82929 Applied Materials Semvision G2 Plus Defect Review SEM 1 300 MM 01.06.2002 as is where is
83667 Applied Materials UVision 200S Bright-field wafer inspection 1 300 mm 01.06.2006 as is where is
82274 Applied Materials VANTAGE RADIANCE RTP 1 12" 01.06.2004 as is where is
76659 Applied Materials VERASEM 3D CD SEM with 3D capability 1 200 mm 01.03.2004 inquire
76661 Applied Materials VERASEM 3D CD SEM with 3 D capability 1 200 mm 01.08.2003 inquire
76662 Applied Materials VERASEM 3D CD SEM with 3 D capability 1 200 mm 01.10.2003 inquire
76658 Applied Materials VERASEM 3D CD SEM with 3D capabilities 1 200 mm 01.01.2004 inquire
83765 Applied Materials ENDURA 2 (CHAMBER ONLY) Metal Deposition 1 300 mm 01.03.2016 as is where is
83768 Applied Materials ENDURA 2 (CHAMBER ONLY) Metal Deposition 1 300 mm 01.01.2016 as is where is
83764 Applied Materials SEMVISION G2 SEM Defect review and analysis 1 300 mm 01.05.2005 as is where is
82233 ASM A412 Vertical LPCVD Furnace 1 300 mm 01.06.2011 as is where is
83600 ASM AD 830 Bonder 1   01.05.2012 as is where is
83605 ASM DS 830 Double Head Dispenser, left: Magazin, right: Leadframe 1 as is where is
79874 ASM Epsilon 3200 Epitaxial Deposition 1 300 mm 01.06.2009 as is where is
83603 ASM IBE 139H Rotary Station then Buffered in Magazine and from Magazine to Wirebonder 1 as is where is
83666 ASM Ideal Compression Mold for LED production 1 LED 01.04.2011 as is where is
83604 ASM iHawkXtreme bonder 1     as is where is
83602 ASM MC 609H left:Leadframe in Magazine, transport in one of the ten Ovens, Cooling Station, right side: Leadframe out of Magazine 1     as is where is
83607 ASM MC 609H left:Leadframe in Magazine, transport in one of the ten Ovens, Cooling Station, right side: Leadframe out of Magazine 1 as is where is
83608 ASM MP-TAB left: Leadframe than Trimm, right: IC, possible on exit with Comveyor belt system to next step 1     as is where is
83609 ASM SLS 230T left: IC cup and testing good/bad and than sorting in small tube 1 as is where is
83611 ASM SLT400 left: IC cup, Taping on Reel 1 as is where is
83610 ASM TLB 203EX Testing 1     as is where is
83601 ASM Extraction and Inspection of the Leadframe 1 as is where is
83606 ASM   Extraction and Inspection of the Leadframe 1     as is where is
83672 ASML PAS5500/1100 DUV Lithography Exposure 1 8" as is where is
83563 ASML SPARES VARIOUS SPARE PARTS 2774 SPARES   as is where is
83742 ASML TS100B Yield Star Single wafer metrology system 1 300 mm 01.06.2011 as is where is
83670 AST/Mattson AST 2800 RTP 1 8" as is where is
76782 ASTRODESIGN SE6101 Manual prober with temperature controlled chuck 1 200 mm 01.06.2000 as is where is
83746 Asymtek X1020 Dispenser 1 SMT 01.06.2007 as is where is
83747 Asymtek X1020 Dispenser 1 SMT 01.06.2007 as is where is
83748 Asymtek X1020 Dispenser 1 SMT 01.06.2007 as is where is
82225 Axcelis Integra Dry Stripper 2 300 mm 01.03.2011 as is where is
53093 Axcelis Kit 160 KeV GSD 200E Kit for upgrade 80-160KV 1 spares 200 mm 01.10.1995 as is where is
83724 Axcelis M200 PCU UV Bake 1 150 mm 01.06.2004 as is where is
82236 Axcelis NV-GSD-HE High energy implanter 1 200 mm 01.10.1999 as is where is
83709 Axcelis UV bake UV bake 1 8" as is where is
83710 Axcelis UV bake UV bake 1 8"   as is where is
83711 Axcelis UV bake UV bake 1 8" as is where is
54859 Baccini 35MW Solar Cell Line Solar Cell Print line for Mono or Poly Crystalline Solar Cells 1 156 mm 01.12.2005 as is where is
77021 Baccini Dryer 1 Dryer 1 1 156 mm 01.11.2001 as is where is
77022 Baccini Dryer 2 Dryer 1 1 156 mm 01.11.2001 as is where is
77009 Baccini Screen Printer 2 screen printer 1 156 mm 01.06.2001 as is where is
77010 Baccini Screen Printer 3 screen printer 1 156 mm 01.11.2001 as is where is
77017 Baccini Cell electrical tester Electrical Cell tester 1 156 mm 01.06.2006 as is where is
77013 Baccini CHIP AND CRACK CAMERA Chip and Crack camera 1 156 mm 01.06.2007 as is where is
83788 BAL-TEC SCD005 Cool Sputter Coater 1 Laboratory 01.12.2004 as is where is
70643 Beijing Sevenstar Huachang Electronics Co. Ltd. L42500-4/ZM PECVD furnace 1 156 mm 01.10.2006 as is where is
83740 BIO-RAD QS300 FT-IR Spectrometer for up to 200mm Wafers 1 200 mm 01.06.1995 inquire
83749 Blue M MP-1506 Convection cleanroom oven 10 ASSEMBLY 01.06.2000 as is where is
83770 BROOKS M600 Automated Batch FOUP Cleaner 1 300 mm 01.07.2006 as is where is
83726 Canon FPA 2500 i2 i-line stepper, 6 inch reticle, 6 inch wafer setup 1 150 mm 01.06.2000 as is where is
68025 Canon FPA 5000 ES2+ 248 nm lithography exposure system 1 200 mm (300 mm also possible with conversion kit) 01.06.2000 as is where is
75029 Canon FPA 6000 ES5 248 nm DUV Scanner 1 300 mm 01.03.2003 as is where is
75029 Canon FPA 6000 ES5 248 nm DUV Scanner 1 300 mm 01.03.2003 as is where is
56144 Centrotherm Centronic E2000 Horizontal diffusion furnace for POCl3 doping 1 156 mm 01.06.2003 as is where is
56140 CentroTherm DO 12.000-200-FF-HTO-CAN-NT4.0 Fast Firing Funace with Dryer 1 156mm 01.06.2001 as is where is
82927 Collabratech Custom Built Trichlorosilane (TCS) distribution system 1 Facilities 01.12.2015 as is where is
80083 COLUSSI UG 50 E AUTOCLAVE FOR STERILIZATION 1 Laboratory 01.06.2000 as is where is
82240 Credence ASL1000 Tester 2 01.01.1998 as is where is
78638 Credence Duo SX (Spare Parts) SPARE PARTS FROM AUTOMATED TEST SYSTEM 1 test for spares use as is where is
83736 CTI 10 Cryo Pump w/ Compressor 1 pump as is where is
83728 CVC Thermal Evaporator 1 150 mm 01.06.1985 as is where is
78121 Cymer Nanolith 7401A 193 nm excimer laser 1 facilities 01.09.2002 as is where is
73209 Dage 2400 PC Bond Pull Tester 1 ASSEMBLY 01.06.1997 as is where is
83543 DAGE XD7500VR XRay machine 1 01.06.2007 as is where is
83642 Datacon 2200APM Single head Multi chip Die Bonder 1     as is where is
59144 Despatch CDF7210 Firing furnace / Reflow oven 1 156 mm square   as is where is
77666 Digital Analysis PH10 Adjustment system PH Adjustment system 1 as is where is
82965 DISCO DAD 3350 Dicing Saw Machine 1 200 mm   as is where is
82966 DISCO DAD 3350 Dicing Saw Machine 1 200 mm as is where is
82967 DISCO DAD 3350 Dicing Saw Machine 1 200 mm   as is where is
82968 DISCO DAD 3350 Dicing Saw Machine 1 200 mm as is where is
82969 DISCO DAD 3350 Dicing Saw Machine 1 200 mm   as is where is
82970 DISCO DAD 3350 Dicing Saw Machine 1 200 mm as is where is
82971 DISCO DAD 3350 Dicing Saw Machine 1 200 mm   as is where is
82972 DISCO DAD 3350 Dicing Saw Machine 1 200 mm as is where is
82973 DISCO DAD 3350 Dicing Saw Machine 1 200 mm   as is where is
82974 DISCO DAD 3350 Dicing Saw Machine 1 200 mm as is where is
82975 DISCO DAD 3350 Dicing Saw Machine 1 200 mm   as is where is
82976 DISCO DAD 3350 Dicing Saw Machine 1 200 mm as is where is
82977 DISCO DAD 3350 Dicing Saw Machine 1 200 mm   as is where is
82978 DISCO DAD 3350 Dicing Saw Machine 1 200 mm as is where is
78831 Disco DC4001 Cleaning 1 ASSEMBLY   as is where is
83743 DISCO DFD 651 Dicing Saw 1 ASSEMBLY 01.06.1997 as is where is
78832 DISCO DFD 651 Wafer Dicing Saw 1 ASSEMBLY 01.06.2000 as is where is
78833 DISCO DFD 651 Wafer Dicing Saw 1 ASSEMBLY 01.06.1997 as is where is
82959 DISCO DFG840 Grinder 1 200 mm 01.06.1997 as is where is
82960 DISCO DFG840 Grinder 1 200 mm 01.06.1994 as is where is
80334 Disco DFL7160 Laser Dicing Saw 1 LED 01.10.2007 as is where is
78834 Disco DFM-M-150 WAFER MOUNTER-SEMI AUTO 1 ASSEMBLY as is where is
83591 Disco EAD6340 Package Singulation Saw EAD6340 + Hanmi 3000D 1 2 inch as is where is
83613 Disco EAD6340 K Package Singulation Saw EAD6340 + Hanmi 3000D 1 2 inch 01.07.2006 as is where is
82928 DNS FS-820-L Acid Wet Etching Bench 1 200 mm 01.05.1999 inquire
82276 DNS SD-80BW-AVP Developer Track but can be converted to coat and develop 1 8"   as is where is
83676 DNS SK-80BW-AVP Lith Coat / Dev 1 8" as is where is
82692 DNS SS-3000-A CLEAN 1 300 mm 01.06.2006 as is where is
83584 DNS SS-W-80A-AR 2-front / 2-Backside 1 200mm 01.03.1995 as is where is
83718 DNS SU-3100 WET 1 12"   as is where is
82282 DNS SU-3100 0
82932 DNS WS-820C WET HOOD 1 200mm 01.12.1996 as is where is
79394 Ebara A30W Vacuum Pump 1 Pump   as is where is
79395 Ebara A30W Vacuum Pump 1 Pump as is where is
82239 Ebara FREX300 CMP (STI) Polisher 1 300mm 01.06.2004 as is where is
79604 EDA AETS Engineering Development Station 1 Reliability 01.06.2006 as is where is
79575 EDA /ELES DA 24 Std HD/H -40 / Art 200 12 + 12P Dual burn-n chamber 1 Reliability 01.06.2006 as is where is
79573 EDA /ELES DA24 Std HD/H -40 / Art 200 12 + 12P Dual Burn-In Oven 1 Reliability 01.06.2006 as is where is
80031 EDA Industries PCBA 05431 DRIVER module for BIB oven 6 Reliability inquire
80082 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 3 x PSU 1 Reliability   inquire
80042 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 6 drivers 1 Reliability inquire
80052 EDA Industries PCBA 05568 REV 1.3 Pattern test Driver module for BIB oven with 3 x PSU 1 Reliability inquire
80040 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven 1 Reliability inquire
80041 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven 1 Reliability   inquire
80080 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver PCB for BIB oven 1 Reliability   inquire
80001 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven 1 Reliability   inquire
80030 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven 1 Reliability   inquire
80045 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven with 6 drivers 1 Reliability   inquire
80081 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers 1 Reliability inquire
80084 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers 1 Reliability inquire
80029 EDA Industries PCBA 05758 UBTS Diagnostic Board for BIB oven 1 Reliability inquire
80032 EDA Industries PCBA 07009 PTDM TO ART 200 EXTENDER CARD 1 Reliability   inquire
81822 Edwards E2M40 FSPX Rotary Vacuum Pump with oil filter 1 Pump 01.06.1989 as is where is
54524 Edwards iQDP80 Dry Vacuum Pump 1 pump   as is where is
54214 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump 01.06.2000 as is where is
54216 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump 01.06.2000 as is where is
54217 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump 01.04.2007 as is where is
54218 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump 01.04.2007 as is where is
54219 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump 01.04.2007  
54222 Edwards QDP80 Dry Vacuum Pump 1 pump 01.06.2000 as is where is
54220 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo 1 pump 01.06.2000 as is where is
54221 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo 1 pump 01.06.2000 as is where is
78132 Electroglas Horizon 4085X Prober 1 8" 01.02.1998 as is where is
79596 Elind KL 1200W Laboratory Power supply 8 Electronics Test and Measurement 01.06.2000 as is where is
83513 Entegris RSPX-EUV-036 EUV Reticle stocker 1 Facilities 01.08.2010 as is where is
80033 ERNI 914796 RC BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated). 256 Reliability   inquire
83524 ERS AC3 200 MM THERMAL CHUCK SYSTEM FOR A MANUAL PROBER 1 200 MM inquire
78841 ESEC 2008 HS3 PLUS BONDER 1 ASSEMBLY 01.06.2007 inquire
78842 ESEC 2008 HS3 PLUS BONDER 1 ASSEMBLY 01.06.2006 inquire
78843 ESEC 2008 HS3 PLUS BONDER 1 ASSEMBLY 01.06.2006 inquire
78844 ESEC 2008 HS3 PLUS BONDER 1 ASSEMBLY 01.06.2006 inquire
78845 ESEC 2008 HS3 PLUS BONDER 1 ASSEMBLY 01.06.2007 inquire
78846 ESEC 2008 HS3 PLUS BONDER 1 ASSEMBLY 01.06.2006 inquire
78847 ESEC 2008 HS3 PLUS BONDER 1 ASSEMBLY 01.06.2005 inquire
83739 ESI 44 LASER TRIMMER SPARE PARTS 1 as is where is
83592 ESI 9830 Laser Fuser 1 300 mm 01.02.2005 as is where is
82979 ESI ESI 5330 Laser Drill 1 200 mm as is where is
80342 ESI M9830 LASER REPAIR 8 300 mm 01.06.2005 as is where is
82948 EVG 6200 Mask Aligner 1 200 mm 01.06.2010 as is where is
82940 EVG EVG 520 Bonder 1 200 mm 01.06.2010 as is where is
82941 EVG EVG 520 Bonder 1 200 mm 01.06.2010 as is where is
82939 EVG EVG 620 Bond Aligner 1 200 mm 01.06.2008 as is where is
82942 EVG IQA Replication IQ-ALIGNER 1 200 mm 01.06.2008 as is where is
82943 EVG IQA Replication IQ-ALIGNER 1 200 mm 01.06.2010 as is where is
83515 Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer 1 Facilities 01.06.2004 as is where is
82223 FEI XL 30 e-sem (Strictly for spares use) 1 Laboratory for spares use as is where is
83786 FEI STRATA 400S Dual Beam FIB 1 Laboratory 01.06.2005 as is where is
82949 FILM TEK SCI   Reflectometer 1 200 mm 01.06.2008 as is where is
83512 FSI Polaris 3500 DUV Photoresist coater and developer track 1 300 mm 01.06.1999 as is where is
83516 FSI Polaris 3500 (Spares) Spares for DUV photoresist coater / developer track 1 spares 01.06.2000 as is where is
83757 FSI ZETA 300 Wet Cleaning 1 300 mm 01.05.2010 as is where is
83771 FSI Zeta 300 WET CLEANING SYSTEM 1 300 mm 01.01.2013 as is where is
83712 Fusion 200 PCU UV Bake 1 8"   as is where is
83713 Fusion 200 PCU UV Bake 1 8" as is where is
83725 Gasonics Aura 3010 Oxygen Plasma Ashers 2 150 mm 01.06.2013 as is where is
79600 Gigi Molina Brevetti Plastici SpA Custom Manual wet hood 3 200 mm 01.06.2000 as is where is
76735 GL Automation IDSCOPE METRO - SCRIBEREAD 1 200 mm 01.07.2004 as is where is
76736 GL Automation IDSCOPE METRO - SCRIBEREAD 1 200 mm 01.07.2004 as is where is
76737 GL Automation IDSCOPE METRO - SCRIBEREAD 1 200 mm 01.07.2004 as is where is
76738 GL Automation IDSCOPE METRO - SCRIBEREAD 1 200 mm 01.07.2004 as is where is
76739 GL Automation IDSCOPE METRO - SCRIBEREAD 1 200 mm 01.09.2004 as is where is
79892 Gossen Konstanter IEC625 Power supply Gossen Konstanter UOP 1 Electronics Test and Measurement as is where is
82980 Hacker VICO520 Die Sorter 1 200 mm 01.06.2010 as is where is
71907 Hamamatsu C7103 IC Back-side Lapping System 1 200 mm   as is where is
78848 HITACHI CM-700H BONDER 1 ASSEMBLY 01.06.2007 inquire
78849 HITACHI CM-700H BONDER 1 ASSEMBLY 01.06.2007 inquire
78850 HITACHI CM-700H BONDER 1 ASSEMBLY 01.06.2007 inquire
78851 HITACHI CM-700H BONDER 1 ASSEMBLY 01.06.2007 inquire
78852 HITACHI CM-700H BONDER 1 ASSEMBLY 01.06.2007 inquire
78853 HITACHI CM-700H BONDER 1 ASSEMBLY 01.06.2007 inquire
78854 HITACHI CM-700H BONDER 1 ASSEMBLY 01.06.2007 inquire
83744 HITACHI CM-700H BONDER 1 ASSEMBLY 01.06.2006 inquire
83745 HITACHI CM-700H BONDER 1 ASSEMBLY 01.06.2005 inquire
80339 Hitachi CM-700H DIE Bonder 1 Assembly 01.06.2005 as is where is
78111 HITACHI FB2000A FIB SEM 1 Laboratory 01.06.2001 as is where is
78826 HITACHI FB2100 FIB SEM 1 200 mm 01.06.2006 as is where is
83649 Hitachi IS2700SE Wafer Inspection System 1 300 mm 01.06.2004 inquire
83706 Hitachi RS 3000 N Review SEM 1 12" as is where is
82263 HITACHI S-4700 (w/EDAX) INSPECTION SEM 1 - 01.06.1998 as is where is
78827 HITACHI S-5000 Inspection sem 1 Laboratory 01.06.1998 as is where is
82264 HITACHI S-5000 INSPECTION SEM 1 8" 01.06.1996 as is where is
82260 HITACHI S-9220 (SMIF) CD SEM 1 8" 01.06.2001 as is where is
82261 HITACHI S-9360 CD SEM 1 8"/12" 01.06.2003 as is where is
82262 HITACHI S-9360 CD SEM 1 8"/12" 01.06.2004 as is where is
83585 Hitachi S5000H Field Emission SEM 1 Laboratory   as is where is
78166 Hitachi S8620 CD SEM 1 150 mm 01.06.1995 as is where is
78165 Hitachi S8820 CD SEM 1 200 mm 01.07.1996 as is where is
83701 Hitachi S8820 CD SEM 1 8"   as is where is
83750 Hitachi S9360 CD SEM 1 300 MM 01.12.2003 as is where is
83779 HITACHI S9360 CD SEM 1 300 mm 01.05.2003 as is where is
83776 HITACHI S9380 CD SEM 1 300 mm 01.10.2004 as is where is
82687 HITACHI S9380a CD SEM 1 300 mm 01.06.2006 as is where is
82688 HITACHI S9380a CD SEM 1 300 mm 01.06.2006 as is where is
82689 HITACHI S9380a CD SEM 1 300 mm 01.06.2006 as is where is
78828 HITACHI S5000 Inspection sem 1 200 mm 01.06.2000 as is where is
79138 Hitachi S8840 (Parts) upper column for an S-8840 CD SEM 1 200 mm 01.06.2000 as is where is
56141 Innolas ILS 700P Laser Edge Isolation 1 156mm 01.06.2005 as is where is
83590 JEOL JSM 7401F High Resolution FE SEM 1 200 mm 01.06.2006 as is where is
56310 Jonas and Redmann Q2 WHD A Loader for Centrotherm E2000 furnace 1 156 mm and 125 mm 01.06.2003 as is where is
78855 K & S MAXUM plus Wire Bonder 30 ASSEMBLY 01.06.2005 inquire
79595 K Tech Engineering BK04A Blister tape applicator for microelectronic components 1 Assembly 01.06.2010 as is where is
83520 K&S Maxum Ultra Wire Bonder 1 01.01.2008 as is where is
79598 Keithley 617 Programmable Electrometer 1 Electronics Test and Measurement   as is where is
83644 Keyence VH-7000 175X Digital HD Microscope 1     as is where is
1680 KLA-TENCOR 2132 bright-field WAFER INSPECTION 1 200 mm 01.08.1995 as is where is
31242 KLA-Tencor 5100 XP registration tool / overlay measurement 1 200 mm 01.04.1999 as is where is
83705 KLA-Tencor AIT FUSION XUV Dark-Filed Wafer Particel Inspection 1 12" as is where is
83698 KLA-Tencor AIT XP+ Darkfield Inspection 1 8" as is where is
76682 KLA-TENCOR AIT XUV Dark field wafer particle inspection system 1 200 mm 01.10.2003 as is where is
83708 KLA-Tencor Aleris Wafer Thickness measurement  Hi-K 1 12" as is where is
82931 KLA-Tencor ALERIS CX Thin Film charaterization / Spectroscopic Ellipsometer 1 300 mm 01.09.2007 as is where is
82221 KLA-Tencor Aleris HX Thin Film Metrology 1 200mm, 300mm 01.06.2006 as is where is
83702 KLA-Tencor AMRAY 4200 Review SEM 1 8" as is where is
82684 KLA-Tencor Archer AIM+ METRO 1 300 mm 01.06.2006 as is where is
83777 KLA-Tencor ARCHER10XT Overlay measurement 1 300 mm 01.11.2003 as is where is
83697 KLA-Tencor ES20 review SEM 1 8"   as is where is
83700 KLA-Tencor FLX METRO 1 8"   as is where is
83704 KLA-TENCOR Optiprobe 2600DUV Metrology 1 8"   as is where is
82285 KLA-Tencor SP2 Wafer surface inspection System 1 300 mm 01.06.2004 as is where is
74682 KLA-Tencor Starlight SL3-UV HR URSA Reticle inspection system 1 reticle 01.06.1999 as is where is
83707 KLA-Tencor Surfscan SP2 Surface particle Inspection 1 12"   as is where is
83784 KLA-Tencor SP1 Wafer Inspection 1 300 mm 01.12.2002 as is where is
83785 KLA-Tencor SP2 Wafer Inspection 1 300 mm 01.12.2004 as is where is
82266 KLA-Tencor / THERMA WAVE OP2600 OPTI-PROBE 1 8" 01.06.1995 as is where is
82267 KLA-Tencor / THERMA WAVE OP3260 OPTI-PROBE 1 8"   as is where is
83525 Kohyoung KY8030 II Inline 3D SPI (L Size) 1 01.06.2011 as is where is
83517 Kohyoung KY8030 II Inline 3D SPI (L Size) 1   01.06.2010 as is where is
80360 KOSES Strip Laser Marker strip marking 1   01.06.2000 as is where is
82235 Kurt J Lesker / Ichor Systems SL4 (Custom Built) Experimental ALD cluster tool for SiC superlattice deposition 1 200 mm 01.06.2010 as is where is
82956 Labo-Moderne Hood KL 8692 Hood 1 200 mm 01.06.2008 as is where is
83695 Lam Alliance 4720 Tungsten Dry Etch 1 8" as is where is
83762 LAM SPEED CVD 1 300 mm 01.07.2007 as is where is
83763 LAM SPEED CVD 1 300 mm 01.07.2007 as is where is
82257 Lam Research 2300 EXELAN Dry etcher 1 8" 01.06.2004 as is where is
82258 Lam Research 2300 EXELAN Dry etcher 1 8" 01.06.2004 as is where is
2873 LAM RESEARCH 4520 (spares) REMOTE CART 2 FACILITIES 01.01.1995 as is where is
83719 Lam Research 4520XL Di-electric etcher 1 150 mm 01.06.2004 as is where is
82250 Lam Research A6 9400DFM-P Dry etcher 1 8" 01.06.2000 as is where is
82259 Lam Research Alliance A6 TM Dry etcher 1 8" 01.06.2001 as is where is
82254 Lam Research CHAMBER FRAME Dry etcher 1 8" as is where is
82255 Lam Research RAINBOW 4520i Dry etcher 1 8" 01.06.1995 as is where is
82256 Lam Research RAINBOW 4520i Dry etcher 1 8" 01.06.1995 as is where is
82251 Lam Research TCP9400PTX Dry etcher 1 8" 01.06.2000 as is where is
82252 Lam Research TCP9600SE Dry etcher 1 8" as is where is
82253 Lam Research TCP9600SE Dry etcher 1 8" 01.06.1993 as is where is
15066 LAMBDA PHYSIK Novaline K2005 EXCIMER LASER 1 facilities 01.07.2002 inquire
82685 LEICA UV Optic Scope QE 1 300 mm 01.06.2006 as is where is
83735 Leybold 3200 MAG Vacuum Pump 1 pump as is where is
33542 Liebherr FKV 3610 Fridge for photoresist 1 facilities as is where is
80345 Lintec RAD 2500 F/8 Wafer mounter 1 200 MM 01.06.1997 as is where is
80344 LINTEC RAD 3500 F/12 Wafer mounter 1 300 MM 01.09.2001 as is where is
82981 Lintec RAD-2500m/8-TR Semi Automatic Wafer Mounter 1 200 mm   as is where is
80349 MARCH PX-1000E8 Plasma Cleaner 2 ASSEMBLY 01.06.2000 as is where is
82954 March Plasma AP-1000 Plasma Asher 1 200 mm 01.06.2008 as is where is
78817 MARKEM MARKEM 612 PLATE MAKER 1 ASSEMBLY 01.06.2004 as is where is
82214 Mattson Aspen II RTP tool 2     as is where is
83675 Mattson AST 3000 RTA 1 8"   as is where is
83758 MATTSON HELIOS RTA 1 300 mm 01.09.2007 as is where is
79571 Mazzali Climatest C320G5 Temperature and humidity testing chamber 1 Reliability 01.06.1993 as is where is
79572 Mazzali Climatest C320G5 Temperature and humidity testing chamber 1 Reliability 01.06.1993 as is where is
78135 Mazzali ELES Tebis Burn-In Oven -Photodiode LC Y2K 1 Reliability 01.01.2001 as is where is
80038 MDA Scientific System 16 Toxic Gas Monitor 1 01.07.2001 as is where is
4007 MDC (Materials Development Corp.) DUO CHUCK CSM16 CV Measurement system 1 150 mm 01.06.1995 inquire
71902 Microcontrol Micol Wafer Eraser 1 as is where is
79593 Minato Electronics 1940 EPROM Programmer 1 Electronics Test and Measurement 01.06.2005 as is where is
79592 Minato Electronics 1940 EPROM Programmer with additional memory 1 Electronics Test and Measurement 01.06.2007 as is where is
78818 MORY DSV-3000 PLASMA CLEANER 1 ASSEMBLY 01.06.2003 as is where is
83521 Multitest MT9308 Test Handler (parts tool) 1 TEST missing parts as is where is
83791 NANOMETRICS ATLAS Thin Film Processing 1 300 mm 01.07.2004 as is where is
82690 NANOMETRICS ORION METROLOGY 1 300 mm 01.06.2006 as is where is
78314 Nanometrics / Biorad QS 3300 FTIR Film metrology system 1 300 mm 01.08.2015 inquire
82938 Nanotechnology Systems 350FG Ultra-Precision Five-axis CNC Machining System 1 200 mm as is where is
83679 Nikon NSR-2205EX14C DUV Lithography Exposure 1 8" as is where is
82238 Nikon NSR2205 i14E i-line stepper 1 200 mm inquire
83751 NIKON S620D Lithography Exposure tool 1 300 mm 01.10.2010 as is where is
83752 NIKON S620D Lithography Exposure tool 1 300 mm 01.10.2010 as is where is
78837 Nitto MA1508N WAFER MOUNTER 1 ASSEMBLY 01.06.1997 as is where is
83597 Nitto TT1R2-1 teach pendant for robot TT1R2-1 1 spares   as is where is
82224 Nitto DR 8500 II Fully Automatic Wafer Taper 1 200 mm 01.10.1998 as is where is
83759 NOVELLUS C3 SPEED CVD 1 300 mm 01.06.2006 as is where is
83760 NOVELLUS C3 SPEED CVD 1 300 mm 01.11.2005 as is where is
83599 Novellus Concept 3 Speed CVD System, 3 chamber, STI / IMD process 1 300 mm 01.06.2005 inquire
82226 Novellus Innova PVD 1 300 mm 01.06.2010 as is where is
82683 Novellus INOVA NEXT PVD 1 300 mm 01.06.2006 as is where is
82269 NOVELLUS M2i PVD 1 8"   as is where is
82270 NOVELLUS M2i PVD 1 8" 01.06.1986 as is where is
83753 NOVELLUS SABRE NEXT Metal Deposition - ECD 1 300 mm 01.02.2011 as is where is
83774 NOVELLUS VECTOR PE CVD 1 300 mm 01.06.2005 as is where is
83773 NOVELLUS VECTOR PE-CVD 1 300 mm 01.06.2005 as is where is
83775 NOVELLUS VECTOR PE-CVD 1 300 mm 01.06.2005 as is where is
83684 Novellus 2000 LL Etch 1 8" as is where is
83685 Novellus 2000 LL Etch 1 8"   as is where is
83686 Novellus 2000 LL Etch 1 8" as is where is
83772 NOVELLUS SPEED CVD 1 300 mm 01.03.2006 as is where is
82934 OERLIKON LLS EVO II Sputter 1 200 mm 01.06.2008 as is where is
82935 OERLIKON LLS EVO II Sputter 1 200 mm 01.06.2006 as is where is
82937 Optorun OTFC1300 Thin film coater 1 200 mm 01.06.2009 as is where is
82215 Oxford Plasmalab 100 PE CVD 1 150 mm and 200 mm 01.04.2003 as is where is
83722 Oxford Plasmalab 100 RIE Silicon Etcher 1 150 mm 01.06.2004 as is where is
82234 Oxford Plasmalab 80 Plus PE CVD 1 150 mm and 200 mm 01.04.2003 as is where is
82957 OXFORD INSTRUMENT XSTRATA960 XRF 1 200 mm as is where is
71760 Oxford Plasma Technology DP-80 Parallel Chamber Deposition system 1   01.12.1989 as is where is
80355 Panasonic FCB3 flip chip bonder 1 ASSEMBLY 01.06.2006 as is where is
79712 Partial Line of Reliability Testing Laboratory Equipment 34 Reliability 01.06.2006 inquire
83734 Pfeiffer 1001 Vacuum Pump 8 pump as is where is
83733 Pfeiffer 1600 Vacuum Pump 2 pump   as is where is
83732 Pfeiffer 2200 Vacuum Pump 9 pump as is where is
83737 Pfeiffer TPH-2301-P Turbo vacuum pump 1 pump   as is where is
78807 PLASMA ETCH BT-1 PLASMA CLEANER 2 ASSEMBLY 01.06.2004 as is where is
31246 PMS Liquitrack 776200 Non volatile residual Monitor 1 facilities 01.11.1999 as is where is
54210 Poly Design Inc. Custom Heated Quartz Boat storage / drying system 1 200 mm 01.06.2005 as is where is
83716 Primax Primax HF Wet etch 1 8"   as is where is
77670 Rasco BCU-750 Brine Chiller 1     as is where is
82950 RENA EPM 311F Electroplating Tool 1 200 mm 01.06.2008 as is where is
57773 Rena Etcher In-Line Etching System 1 Solar 01.06.2005 as is where is
83519 Rigaku 3640 X-ray fluoresence wafer / disk analyzer 1 200 mm 01.06.2000 as is all rebuilt
76973 Roth & Rau Chiller Chiller 1 01.06.2005 as is where is
56813 Roth & Rau SiNA PECVD - Deposition of Silicon Nitride 1 156 mm SQUARE/125 MM 01.06.2005 as is where is
83662 Rudolph NSX 105 macro defect inspection system 1 6 inch film frames inquire
79602 Salon Teknopaja OY PWB Printed Wire Board Level Drop Tester with Solder Joint Reliability tester 1 SMT 01.06.2004 as is where is
79889 Sanitas EG Multilevel EPROM Programmer 1 Electronics Test and Measurement as is where is
83633 SCANLAB XY01 PART ID LABEL HKM CORTRONIC 0 20-TVS
83578 SDI FAaST 230 Wafer Characterization Tool 1 up to 200 mm 01.06.2004 inquire
78839 Secron SW2400 PKG SAWING SYSTEM 1 ASSEMBLY 01.06.2004 as is where is
69879 SEIKO SEIKI STP 1000C TURBO PUMP TMP 1000C 250 ISO-K/KF40 1 VACUUM PUMP 01.11.1999 as is where is
69878 SEIKO SEIKI STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40 1 VACUUM PUMP 01.11.1999 as is where is
80366 SEIKO SEIKI STPH600C TURBO PUMP TMP 600C 1 VACUUM PUMP 01.02.2001 as is where is
20268 SEKISUI VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box 9500 200 mm 01.07.2006 inquire
54208 Seminet Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Reticle Stocker 1 facilities 01.11.2007 as is where is
83714 Semitool LT210 Copper Plating 1 8"   as is where is
83769 Semitool RAIDER Metal Deposition 1 300 mm 01.02.2009 as is where is
83717 Semitool WET  Back end polymer clean 1 8" as is where is
82691 SEZ DV38 DS CLEAN 1 300 mm 01.06.2007 as is where is
82277 SEZ / LAM SP201 SPIN ETCHER 1 200 MM 01.06.1996 as is where is
83688 Shibarua CDE-80N Dry Etch 1 8" as is where is
80337 Shinkawa ACB30009 Wire Bonder FOR LED Manufacturing 1 LED 01.06.2012 as is where is
83754 SHINKAWA SPA-300 Die Bonder 1 300 mm 01.03.2002 as is where is
80348 Shinkawa UTC-1000 Super Wire Bonder 60 ASSEMBLY 01.06.2005 as is where is
82686 Shinsung Eng SGL-30 QE 1 300 mm 01.06.2011 as is where is
76611 SHOWA ELECTRONICS 511-16 REGURATED DC POWER SUPPLY 1 laboratory   as is where is
83729 Sloan Dektak 30 30 Profilometer 1 150 mm   as is where is
82228 Solar Ingot MFR LINE 5000 Ton/year Solar Ingot mfg plant 1 Solar   inquire
73208 Solitec 5110 Spin Coater 1 3 to 6 inch 01.10.1998 as is where is
82958 SONICOR RCT150 Degreaser 1 200 mm as is where is
83703 SOPRA SE 200 Ellipsometer 1 8"   as is where is
82284 SPEEDFAM EP300 X Edge Polishing 1 300 mm 01.06.2008 as is where is
83668 SPTS Omega fxp (Rapier) DRIE for MEMS production 1 150 mm 01.06.2012 as is where is
82936 SPTS Sigma i2L PVD System 1 200 mm 01.06.2010 as is where is
80177 ST Automation QT200 Automated Tester System with monitor 1 test 01.10.2007 as is where is
80178 ST Automation QT200 Automated Tester System with monitor 1 test 01.10.2007 as is where is
80179 ST Automation QT200 Automated Tester System with monitor 1 test 01.10.2007 as is where is
80180 ST Automation QT200 Automated Tester System with monitor 1 test 01.10.2007 as is where is
80181 ST Automation QT200 Automated Tester System with monitor 1 test 01.10.2007 as is where is
80182 ST Automation QT200 Automated Tester System with monitor 1 test 01.10.2007 as is where is
80183 ST Automation QT200 Automated Tester System with monitor 1 test 01.10.2007 as is where is
80184 ST Automation QT200 Automated Tester System with monitor 1 test 01.10.2007 as is where is
78133 ST Automation QT200 Test System 1 test as is where is
78137 ST Automation QT200 Tester System with monitor 1 test as is where is
78138 ST Automation R.S.V. ST Memory Test System Electronic Automation 1 test 01.04.2007 as is where is
82951 STANGL Electroplating Tool 1 200 mm 01.06.2008 as is where is
82952 STANGL   Electroplating Tool 1 200 mm 01.06.2008 as is where is
82953 STANGL Electroplating Tool 1 200 mm 01.06.2008 as is where is
83731 Strausbaugh 6EC CMP system 6 inch setup 1 150 mm as is where is
83730 Strausbaugh 7AA - II CMP system 6 inch setup 1 150 mm   as is where is
79584 STS 320 PC Reactive Ion Etcher 1 200 mm 01.06.1995 as is where is
83721 STS Multiplex Deep Silicon Etch / XeF2 release 2 chamber 1 150 mm 01.06.2004 as is where is
83720 STS Pro CVD PE-CVD TEOS / Silane /SiN / SiC 1 150 mm 01.06.2004 as is where is
82278 SUMITOMO SD-150SA SRD 1 - as is where is
82944 SUSS MicroTec GAMMA 80C Spin coater 1 200 mm 01.06.2008 as is where is
82945 SUSS MicroTec GAMMA 80C Spin coater 1 200 mm 01.06.2010 as is where is
82946 SUSS MicroTec GAMMA 80D Spin Developer 1 200 mm 01.06.2008 as is where is
82947 SUSS MicroTec MA200e Mask Aligner & Exposure MA200e + Measuring Device 1 200 mm 01.06.2008 as is where is
82955 SUSS MICROTEC / Cascade PM8 Manual Prober 1 200 mm 01.06.2000 as is where is
33413 SYNAX SX3100 Handler 1 test 01.06.2006 as is where is
33414 SYNAX SX3100 Handler 1 test 01.06.2006 as is where is
79888 System General T9600 Universal Device Programmer 1 Electronics Test and Measurement 01.06.2003 as is where is
78136 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 1   01.12.2005 as is where is
80089 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 1 01.12.2005 as is where is
83664 TDK TAS300 E4 300 mm wafer loading port 3 300mm   as is where is
82265 TDK HIMEC PIJ-WH300 METRO 1 8" as is where is
83689 Tegal TEGAL 981 Nitride Etch 1 8" as is where is
79599 Tektronix 11801C Digital Sampling Oscilloscope 1 Electronics Test and Measurement 01.02.2001 as is where is
79601 Tektronix 2432A Digital Oscilloscope, 2 channel, with GPIB 1 Electronics Test and Measurement as is where is
79597 Tektronix PS 280 DC Power supply 1 Electronics Test and Measurement 01.06.2007 as is where is
79590 Tektronix TDS 544A Color 4 channel digitizing oscilloscope 1 Electronics Test and Measurement 01.06.2006 as is where is
76613 Tektronix TDS694C Digital 3 GHz real-time oscilloscope 1 Electronics Test and Measurement 01.08.2007 inquire
82279 TEL P-12XL PROBER 1 12" 01.06.2005 as is where is
82280 TEL P-12XL(LEFT) PROBER 1 12" 01.06.2001 as is where is
83789 TEL Tokyo Electron A303I Vertical Diffusion Furnace 1 300 mm 01.05.2005 as is where is
83790 TEL Tokyo Electron A303I Vertical Diffusion Furnace 1 300 mm 01.07.2005 as is where is
83660 TEL Tokyo Electron ACT 12 Clean Track, 2 block, 2C / 4D 1 200 mm 01.06.2001 inquire
83678 TEL Tokyo Electron Act 8 Coater Developer Track 1 8" as is where is
83673 TEL Tokyo Electron Act 8 DUV coater and developer track 1 8" as is where is
83680 TEL Tokyo Electron Act 8 Lithography Coater and Developer 1 8"   as is where is
78332 TEL TOKYO ELECTRON Act 8 SOG Coater Track 1 200 mm 01.06.2000 inquire
83677 TEL Tokyo Electron ALPHA-8SE-ZANRS Vertical furnace for Copper Anneal 1 8"   as is where is
83723 TEL Tokyo Electron Mark 5z Stand-Alone Photo-resist coater and developer track for MEMS processing 1 150 mm 01.06.2002 as is where is
21064 TEL TOKYO ELECTRON MB2 730 HT HT CVD SYSTEM, 2 CHAMBER WSi Process 1 200 mm 01.09.1996 as is where is
21270 TEL TOKYO ELECTRON MB2 730HT CVD SYSTEM, 3 CHAMBER WSi Process 1 200 mm 01.09.1996 as is where is
78821 TEL Tokyo Electron P12XLm PROBER 1 300 mm 01.04.2009 inquire
78124 TEL TOKYO ELECTRON P8i Wafer Prober 1 200 MM 01.09.1996 as is where is
78131 TEL TOKYO ELECTRON P8XL Fully Automatic Wafer Prober (Gold Chuck) 1 200 MM 01.09.2000 as is where is
82679 TEL Tokyo Electron SCCM TE ETCH 1 300 mm 01.06.2006 as is where is
82680 TEL Tokyo Electron SCCM TE ETCH 1 300 mm 01.06.2006 as is where is
82681 TEL Tokyo Electron SCCM TE ETCH 1 300 mm 01.06.2006 as is where is
82682 TEL Tokyo Electron SCCM TE ETCH 1 300 mm 01.06.2006 as is where is
2181 TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch 1 150 mm 01.12.1992 inquire
83687 TEL Tokyo Electron Unity 2 855DD Oxide Etch 1 8" as is where is
83690 TEL Tokyo Electron UNITY M 85TD Oxide Etch 1 8"   as is where is
76599 TEL TOKYO ELECTRON UNITY Vera85DPATC Oxide Etcher - 2 chamber 1 200 mm 01.03.1997 as is where is
82271 TEMESCAL BJD-2000 EVAPORATOR 1 2" 01.06.2006 as is where is
82272 TEMESCAL BJD-2000 EVAPORATOR 1 2" as is where is
69323 Tempress TS8403 Diffusion Furnace for POCL3 1 156 mm as is where is
79886 Temptronic TPO 3215A-2300-2 TEMPERATURE FORCER 1 Facilities 01.06.2002 as is where is
78152 Temptronic TPO3000A Prober chuck temperature controller and chiller 1 200 mm   as is where is
78361 Teradyne J971SP (Spares) Boards from VLSI test system 1 Test 01.02.1997 as is where is
54232 Teradyne J994 Memory Tester 1 test 01.06.2000 as is where is
83560 Thermco 5200 150mm 2 01.01.1985 as is where is
83696 TOK TCE-4802 Plasma Etch 1 8"   as is where is
78822 TOWA Y-SERIES 8F AUTO MOLD SYSTEM 1 ASSEMBLY 01.06.1997 as is where is
83665 TSC Memsys TEI 5200 Visual Inspection for LED production 1 LED 01.04.2011 as is where is
83761 TSK A-WD-300TX Dicing Saw 1 300 mm 01.02.2008 as is where is
82237 TSK UF3000 12" Hot Prober Chuck 1   01.09.2016 as is where is
82218 TSK UF300A Prober 2 200mm 01.12.2001 as is where is
82216 Tystar Mini Tytan 8300 Horizontal furnace for AP So OX and LP SiN 1 150 mm and 200 mm 01.04.2003 as is where is
83663 Ultratech 1500MVS 0
82281 ULTRATECH ssp 300e2 STEPPER 1 12" 01.06.2004 as is where is
82273 ULVAC CERAUS ZX-1000 PVD 1 8" as is where is
83646 Ulvac Entron PVD deposition system 1 300 mm 01.08.2004 inquire
83682 ULVAC IH-860 Implanter 1 8" as is where is
83683 ULVAC IH-860 Implanter 1 8"   as is where is
83586 Veeco Dimension X3D Model 340 Atomic Force Microscope 1 300 mm 01.11.2006 as is where is
15619 VERTEQ FLUOROCARBON RD4500 CLASSIC SRD 2 100 mm 01.05.1986 inquire
83787 VG MICROTECH SC7640 sputter coater 1 Laboratory 01.04.2002 as is where is
79594 Vision Engineering Dynascope Inspection Microscope 1 Assembly 01.06.1995 as is where is
80238 Weiss TS130 Thermal shock testing chamber 1 Reliability 01.06.1995 as is where is
83738 Zeiss Axiotron (spare Parts) Axiotron microscope spare parts 1     as is where is


SPARES FOR SALE

back to top

Hyperlink Manufacturer Model Description Quantity Version Condition Lead Time Sales Price Location
83504 Advantest BGK-011702 ADVANTEST T5335P PC Board 1 excellent immediately 350 USD
83503 Advantest BGK-017719 ADVANTEST T5335P PC Board 1   excellent immediately 350 USD  
83499 Advantest BGR-016796 ADVANTEST T5335P PC Board 3   excellent immediately 1,000 USD  
83498 Advantest BGR-016797 ADVANTEST T5335P PC Board (was 80207) 6 excellent immediately 750 USD
83502 Advantest BGR-017418 ADVANTEST T5335P PC Board 2 excellent immediately 750 USD
83501 Advantest BGR-020765 ADVANTEST T5335P PC Board 2   excellent immediately 750 USD  
83500 Advantest BGR-021096 ADVANTEST T5335P PC Board 2 excellent immediately 1,000 USD
76604 ADVANTEST TR6846 Digital Multimeter 1 200 mm excellent immediately 300 USD Avezzano, Italy
82926 Advantest WUN-H90554AIR ADVANTEST air control unit 1   good immediately 500 USD Boerne, TX
83550 Advantest WUN-MONITORBOX ADVANTEST poiwer supply monitoring box, T5335P 2   good immediately 500 USD Boerne, TX
76608 AGILENT 34401A Digital Multimeter 1 test excellent immediately 650 USD Avezzano, Italy
83595 Allen Bradley 1762-IF4 PLC 4-ch input module 1 excellent immediately 100 USD Boerne, TX
83593 Allen Bradley Micro-Logix 1200 PLC Module 1   excellent immediately 125 USD Boerne, TX
83564 Allen Bradley PanelView Plus 400 Operator Panel, Serial 1 good immediately 275 USD Boerne, TX
83669 AMAT 0190-24834-002 MFC Celerity ufc-8165 1L H2 3   good immediately 150 USD Boerne TX
81833 AMI 885 Screen printer control box 706271 1 good immediately 750 USD Boerne, TX
83571 Asco SC8210G93 Solenoid Valve,  1 Spares as new immediately 85 USD Boerne, TX
83580 AST RESEARCH 5V PREMIUM 486/33E 1 1.2 FLOPPY DISK DRIVE good immediately AVEZZANO
83558 Astec VS1-D8-02 (-436-CE) Power Supply 1500 watts 1   excellent immediately 450 USD Texas
83557 Astec VS1-L3-02 (-435-CE) Power Supply 1500 watts 1 excellent immediately 125 USD Texas
82227 Astec VS3-C2-C2-02 Power Supply 2000 watts (-450-CE) 2 excellent immediately 500 USD Texas
83556 Astec VS3-C8-A8-02 (-451-CE) Power Supply 2000 watts 1   excellent immediately 350 USD Texas
83589 Beckhoff BK9000 Ethernet interface module 1 Spares good immediately 100 USD Boerne, TX
83594 Beckhoff KL9050 PLC Module 1   excellent immediately 50 USD Boerne, TX
83551 Celerity UFC-1660 MFC C2F6 5SLPM 1   good immediately 350 USD Boerne TX
83569 COMPUGRAPHICS CHROME COPY NIKON 5 TEST RETICLE 1   excellent immediately   Naples
83631 Credence 071-1022-01 Duo & Logic 100 Test System Service Manual 1 excellent immediately 400 USD Texas
83559 Credence 671-4276-50 PIN card 3   excellent immediately 1,000 USD Texas
79586 DATA IO UNISITE 68 EPROM PROGRAMMER WITH USPIN 84 1 test excellent immediately 3,000 EUR Avezzano, Italy
83627 DENSAN DSB-S17 OUTPUT BOARD SDB-S17 2   new in box immediately 750 USD AVEZZANO
83568 Driver board 005843 Stepper motor driver board, unknown 1   excellent immediately 338 USD Boerne,TX
82922 EBARA A10S DRY PUMP 1 PUMP excellent immediately 3,500 USD Avezzano
82923 EBARA A10S DRY PUMP 1 PUMP excellent immediately 3,500 USD Avezzano
82924 EBARA A10S DRY PUMP 1 PUMP excellent immediately 3,500 USD Avezzano
83582 EDWARDS ETM63X PLASMA HEATING CONTROL UNIT 1 excellent immediately AVEZZANO
83639 ELCO CO. LTD K SERIES POWER SUPPLY 0 K100 A-12 new in box immediately 80 USD AVEZZANO
83636 ELCO CO. LTD K50A-15 POWER SUPPLY 3 15V3.4A good immediately 80 USD AVEZZANO
79885 Elind 3232 power supply 0-3,2A current adj - 0 - 32 V voltage adj 1 Electronics Test and Measurement good immediately 50 USD Avezzano, Italy
79887 elind 328 power supply 0-0,8A current adj - 0 - 32 V voltage adj 1 Lab Equipment excellent immediately 50 USD Avezzano, Italy
83625 ENI HF-3000-50 HARMONIC FILTER ASSY 1 good immediately 600 USD AVEZZANO
82286 ESA ET5200LX2000 Touch Screen Computer 1 spares good immediately 800 USD Boerne, TX
83616 FSP GROUP INC 100-240 W POWER SUPPLY 10 10A 50-60 Hz new in box immediately 100 EUR AVEZZANO
83549 Fujitsu Denso FDS11+11 750W 34A Power supply 1 Spares good immediately 800 USD Boerne,
83544 Fujitsu Denso FDS26-29 750W 26V29A Power supply 2 Spares good immediately 350 USD Boerne,
83579 HP HEWLETT PACKARD 9145A PC HP HEWLETT PACHARD 9145 1 115/230V excellent immediately 100 USD AVEZZANO
83619 IKO NIPPON THOMPSON BCS H S2 LINEAR BEARING AND RAIL 1   new in box immediately 100 USD AVEZZANO
83617 IKO NIPPON THOMPSON LWHT 20 C1 R760 B T1 H S2 LINEAR BEARING AND RAIL 1   new in box immediately 100 USD AVEZZANO
83626 IKO NIPPON THOMPSON PS1 LINEAR BEARING  1 new in box immediately 100 USD AVEZZANO
83618 IKO NIPPON THOMPSON T1 H S2 LINEAR BEARING AND RAIL 1 new in box immediately 100 USD AVEZZANO
83583 INFICON 850-200-G1 LEYBOLD IG 3 VACUUM GAUGE CONTROLLER 1 RS232 excellent   1,200 USD AVEZZANO
76802 KEITHLEY 487 PICO AMMETER  1 Electronics Test and Measurement excellent immediately 1,999 USD Avezzano, Italy
83562 KLA -TENCOR 770O M CONCAVE MIRROR 201969 1 ASSY excellent immediately AVEZZANO
83624 KLA -Tencor 8100 (Spares) load lock assembly for CD-SEM 1 SPARES new unused immediately 3,000 USD AVEZZANO
83573 KLA INSTRUMENTS       CORPORATIONS 720-0588-000 CONTROLLER CHASSIS 1 230 WATTS excellent immediately 4,399 EUR AVEZZANO
83555 KLA TENCOR 720-05721000 CONTROL CHASSIS FOR KLA 8100 Column Control Chassis 2 good 34,650 USD Avezzano
83572 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS 1 SPARES excellent immediately 4,399 EUR AVEZZANO
83574 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS 1 150 WATTS excellent immediately 4,399 EUR AVEZZANO
83581 KLA TENCOR 720-05888-000 TFE GUN CONTROLLER CHASSIS 1 230 WATTS excellent immediately 4,399 USD AVEZZANO
83577 KLA TENCOR 7700 M MODEL 2214-30SLOTT 1 D1,D2,D3 excellent immediately   AVEZZANO
83614 KLA TENCOR HA-200 RADIATION POWER SYSTEMS INC. Mercury Lamp power supply 1 SPARES good immediately 2,000 USD AVEZZANO
83635 KLA-Tencor 2xx (SPARES) CCD TV camera for reticle inspection system 1 SPARES excellent immediately 300 USD AVEZZANO
83645 KLA-Tencor 2xx (SPARES) Control Paddles for reticle inspection system 2 spares good immediately 750 USD Avezzano, Italy
83622 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM 1 SWAGELOK 152086 excellent immediately 3,000 USD AVEZZANO
83623 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM 1 spares new unused immediately 3,000 USD AVEZZANO
83630 KLA-TENCOR 8100 (Spares) Load-lock assembly for CD SEM 1 spares new unused immediately 3,000 USD AVEZZANO
83621 KLA-Tencor 8100 (Spares) Loadlock assembly for CD-SEM  1 0,4-0,7 MPa new unused immediately 3,000 USD AVEZZANO
83643 KLA-Tencor   RIBBON CABLE 2 SPARES excellent immediately 50 USD AVEZZANO
83637 MATSUSHITA HP2-DC 24V RELAY  HP 20   new in box immediately 200 USD AVEZZANO
82220 Milton Roy AA761-65S Flow rate pump 1   good immediately 250 USD Boerne, TX
83554 MITSUBISHI FR-Z120-0.4K INVERTER 200 V CLASS 1 FREQROL-Z120 excellent immediately 350 USD Avezzano
83638 MITSUBISHI Melservo MR-C10A1-UE AC SERVO AMPLIFIER 1 100-120 V new in box immediately 70 USD AVEZZANO
83523 MITSUBISHI MR-J10A1 AC SERVO 1 POWER 100 W excellent     AVEZZANO
83523 MITSUBISHI MR-J10A1 AC SERVO 1 POWER 100 W excellent     AVEZZANO
82230 Muegge Controller Controller, CAN controller, L/R sync 1 good immediately 1,500 USD Boerne, TX 78006
83545 Nemic-LAMBDA CKD-65/65 65V 6A DC Regulated power supply, Advantest T5335P 1 Spares good immediately 350 USD Boerne,
83546 Nemic-LAMBDA TKS_36-21A 36V 21A DC Regulated power supply, Advantest T5335P 1 Spares good immediately 350 USD Boerne,
83628 NITSUKO BCR 2600/BCV 5050 POWER SUPPLY 3   new in box immediately 600 USD AVEZZANO
83552 NSK GLOBAL LTD MAEBASHI PLANT BALL SCREW 4 W1201-112P excellent immediately 200 USD Avezzano
83567 Numatics 225-272B Solenoid Valve 1   as new   50 USD Boerne,TX
83570 OLYMPUS KWM15 MICROSCOPE 1 512 815/20 excellent immediately   Naples
83620 ORIEL 68805 ULTRAVIOLET LIGHT - LAMP HOUSING 1 SPARES excellent immediately 5,000 USD AVEZZANO
83629 ORIENTAL MOTOR 4RK25RGK-AM REVERSIBLE MOTOR 1 SPARES new in box immediately 250 USD AVEZZANO
83587 Pittman GM9213E081 motor 19.1 vdc 65.5:1 RATIO 1 Spares good immediately 100 USD Boerne, TX
83615 PMS MICRO LPC-210 MICROLASER PARTICLE COUNTER 1 MALVERN WR 13 LN fair immediately 200 USD AVEZZANO
83565 Power Launch (?) FU100F Valve tube electron tube 2 excellent immediately 375 USD Boerne, TX
83506 POWERTEC 9J5-360-371 SUPER SWITCHER TM SERIES POWER SUPPLY 1 220 VOLTS excellent immediately 499 USD Avezzano.italy
83510 POWERTEC ASTEC 6C32-EE-371 SUPER SWITCHER TM SERIES POWER SUPPLY 1 220 VOLTS excellent immediately 499 USD Avezzano.italy
83509 POWERTEC ASTEC 9J12-130-371 SUPER SWITCHER TM SERIES POWER SUPPLY 1 220 VOLTS excellent immediately 499 USD Avezzano.italy
83507 POWERTEC ASTEC 9J8-200-371 SUPER SWITCHER TM SERIES POWER SUPPLY 1 220 VOLTS excellent immediately 499 EUR Avezzano.italy
83508 POWERTEC ASTEC 9K2-300-372 SUPER SWITCHER TM SERIES POWER SUPPLY 1 220 VOLTS excellent immediately 499 USD Avezzano.italy
83634 SCANLAB RTC 2 Type XY-01 PC INTERFACE BOARD 2 20-TVS new in box immediately 499 USD AVEZZANO
83548 Shindengen SDC05150G 5V 150 A Regulated power supply, Advantest T5335P 1 Spares good immediately 350 USD Boerne,
76610 SHOWA 341 Laboratory Power Supply - 4 channel 1 200 mm excellent immediately 300 USD Avezzano, Italy
83612 SMC CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE 1 Spares good immediately 75 USD Boerne, TX
83547 SMC IRS_056/09/GT RODLESS PNEUMATIC CYLINDER *NEW* 1 as new immediately 175 USD Boerne TX
83632 SMC MHF2-12D1R SMC cylinder  1 excellent immediately 250 USD Boerne TX
83505 SORENSEN 220 VOLTS POWER SUPPLY 3 220 VOLTS excellent immediately 499 USD Avezzano.italy
83505 SORENSEN 220 VOLTS POWER SUPPLY 3 220 VOLTS excellent immediately 499 USD Avezzano.italy
83522 TDK E S R 05-12R-3 SWITCHING REGULATOR 0 NEC CORPORATION excellent immediately   AVEZZANO
83522 TDK E S R 05-12R-3 SWITCHING REGULATOR 0 NEC CORPORATION excellent immediately AVEZZANO
83553 TEMPTRONIC TP22-2 TEMPERATURE TEMP SET 1 TP22-2 good immediately   AVEZZANO
83576 TENCOR INSTRUMENTS AC 100-120 V POWER SW 1 AC 100-120 V good immediately   AVEZZANO
83575 TENCOR INSTRUMENTS AC 100V POWER SW 1 AC 100 V excellent AVEZZANO
83566 Teradyne 405-096-00 Power Supply 150 Amp, 230 VAC (S233) 2 excellent immediately 1,200 USD Texas
83497 Teradyne 405-142-00 Power Supply 150 Amp, 230 VAC 1 excellent immediately 1,500 USD Texas
82925 Teradyne 405-155-00 Power Supply 150 Amp, 230 VAC 1   excellent immediately 1,500 USD Texas
83561 Teradyne 405-167-00 Power Supply 8 Amp, 28V 1   excellent immediately 1,200 USD Texas
82231 Teradyne 961-061-00 Teradyne J971 Power Supply 1 good immediately 500 USD Boerne, TX
82232 Teradyne 961-128-00 Teradyne J971 Power Supply 1   good immediately 1,000 USD Boerne, TX
83641 TOKYO ELECTRON 1D10-317R09-12 PLATE,GALDEN FLOW CHECKER 1 SPARES new in box immediately 100 USD AVEZZANO
83640 TOKYO ELECTRON / CONTEC FC-SD70 flow meter 1 FLOW CHECKER new in box immediately 100 USD AVEZZANO
82219 WAVECREST dts-2070c (-52) Credence Duo Wavecrest digital time controller 2   excellent immediately 1,000 USD



sdi logo

Established in 1998, SDI has now built up an international network of sales agents and offices.

SDI has evolved into one of the largest semiconductor equipment brokerages by concentrating on professionalism, customer service and value for money.

Read more about us



Ask SDI fabsurplus.com! If you can't find what you need, or are looking for a specific piece of semiconductor equipment. Let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry



sdi logo

Click here for all contact information.
SDI-Fabsurplus Srl Italy, SDI-Fabsurplus Ireland ltd. and SDI-FABSURPLUS LLC. N. America


sdi fabsurplus.com ebay store

Visit SDI-Fabsurplus LLC's

Ebay Store

SDI fabsurplus.com works by using the latest technology to continuously monitor the used equipment market place.
If you want to join our mailing list, please send click on the button below to send an e-mail to subscribe to our monthly equipment news update.

Request monthly equipment news



We'd love to get your feedback about our website and our services!
Fill in the Feedback Form