fabsurplus.com

Used Semiconductor Equipment , Assembly Equipment, Test Equipment and SMT equipment for sale at SDI Fabsurplus.com

Please find below an alphabetically ordered list of used semiconductor manufacturing equipment , test equipment, assembly equipment and SMT equipment we have for sale, updated in real time on fabsurplus.com via the SDI worldwide "DataNET".


SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
110804 12 Inch Complete R and D Test Laboratory for 8 and 12 inch wafers 300 mm 01.06.2005 1 as is where is immediately
111349 12 inch PARTIAL Wafer Fab Line, including 300 mm equipment from ASML, AMAT, TEL, Canon, Nikon and KLA etc. 300 mm 01.06.2010 94 as is where is immediately
111347 150 MW Complete Solar Cell and Module Production Line for M6 cells Solar 01.06.2018 1 as is where is immediately
54859 35 MWp Baccini Solar Cell Print line for Mono or Poly Crystalline Solar Cells 156 mm 01.11.2005 1 as is where is immediately
106039 6 inch Complete MEMS Production Facility for Sale 4 inch and 6 inch 01.06.2005 1 as is where is immediately
98785 60 MWp Baccini Solar Cell Print Line for Mono or Poly Crystalline Solar Cells Solar 01.05.2008 1 as is where is immediately
109082 8 inch Complete Wafer fab Line for sale 200 mm 01.06.1998 470 as is where is immediately
110771 8 inch Complete Wafer fab Line 200 mm 01.06.2000 1 inquire immediately
108645 8 inch / 6 inch Partial Bumping Wafer Fab Line 150 mm / 200 mm 01.06.2005 79 as is where is immediately
110708 Accretech PG200RM Fully Automatic Wafer Back Grinder 200 MM 01.06.2004 1 as is where is immediately
110709 Accretech UF200A Prober 200 MM 01.06.2001 1 as is where is immediately
110710 Accretech UF200A Prober 200 MM 01.12.2000 1 as is where is immediately
109540 Accretech UF3000 Prober 300 mm 01.05.2005 9 as is where is immediately
111360 Accretech / TSK UF3000EX 01.01.2022 1 as is where is immediately
109571 Accretech / TSK UF3000 Fully Automated Prober 300 mm 01.05.2009 9 as is where is immediately
111359 Accretech / TSK UF3000EX Prober with HDD 1 as is where is immediately
95398 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95399 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95400 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95401 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95402 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
95403 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 1 as is where is immediately
54226 Accretech TSK MHF300L Test head manipulators 200 mm 31.05.1999 7 as is where is immediately
108333 Accretech/TSK UF3000 Production Wafer Prober 300 mm 7 as is where is
80264 ACOPIAN B24G210 POWER SUPPLY spares 1 as is where is immediately
109289 AD-TEC AMV-1000-AA RF GENERATOR Spares 1 as is where is
109290 AD-TEC AMV-2000-AMT RF GENERATOR Spares 4 as is where is
109291 AD-TEC AX-1000Ⅲ-27M-LC-GT RF GENERATOR Spares 1 as is where is
109292 AD-TEC TR-3000-EI1-ET RF GENERATOR Spares 1 as is where is
103225 ADE NanoMapper FA Nano-Defects Inspection System 300 mm 1 inquire immediately
4247 ADE 020986-10 259.82 uM thickness standard Spares 1 as is where is immediately
4249 ADE 020986-14 360.01 uM thickness standard Spares 1 as is where is immediately
109554 ADE NANOMAPPER Phase Shift nano defect inspection system 300 mm 01.11.2001 1 as is where is immediately
108791 ADE 5810 Non-Contact Capacitance Gauging Module with 2ea ADE 2248 Probes 1 inquire
108792 ADE 6033 Wafer Thickness Tester 1 inquire
108793 ADE 6033T Wafer Thickness Tester 1 inquire
108615 ADIXEN ADS501 Vacuum Pump PUMP 1 as is where is
108616 ADIXEN ADS1202P Vacuum Pump PUMP 5 as is where is
108617 ADIXEN ADS1202H Vacuum Pump PUMP 26 as is where is
108618 ADIXEN ADP122LM Vacuum Pump PUMP 2 as is where is
108619 ADIXEN A101L Vacuum Pump PUMP 40 as is where is
98706 Adixen Alcatel ADS 602H Dry Vacuum pump combo Pump 01.05.2008 1 as is where is immediately
109622 Adtec AMV Controller Miscellaneous SPARES 1 inquire
109623 Adtec AMV Controller Miscellaneous SPARES 1 inquire
109624 Adtec AX-100 MF Generator SPARES 1 inquire
109625 Adtec AX-100 MF Generator SPARES 1 inquire
109626 Adtec AX-100 MF Generator SPARES 1 inquire
109627 Adtec AX-100 MF Generator SPARES 1 inquire
109628 Adtec AX-3000 MF Generator SPARES 1 inquire
109629 Adtec AX-3000 P Generator SPARES 1 inquire
109312 Advanced Energy NAVIGATOR-1013 RF GENERATOR Spares 1 as is where is
109313 Advanced Energy NAVIGATOR-1013 RF GENERATOR Spares 1 as is where is
109314 Advanced Energy NAVIGATOR-1013 RF GENERATOR Spares 2 as is where is
109315 Advanced Energy NAVIGATOR-1013 RF GENERATOR Spares 9 as is where is
109316 Advanced Energy NAVIGATOR-2013 RF GENERATOR Spares 1 as is where is
109317 Advanced Energy NAVIGATOR-2013 RF GENERATOR Spares 1 as is where is
109318 Advanced Energy NAVIGATOR-2013 RF GENERATOR Spares 1 as is where is
109319 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 2 as is where is
109320 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 8 as is where is
109321 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 1 as is where is
109322 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 1 as is where is
109323 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 1 as is where is
109324 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 2 as is where is
109325 Advanced Energy OVATION-35162 RF GENERATOR Spares 1 as is where is
109326 Advanced Energy OVATION2560SF RF GENERATOR Spares 1 as is where is
109327 Advanced Energy PARAMOUNT MF 3KW RF GENERATOR Spares 1 as is where is
109328 Advanced Energy PARAMOUNT-6013 RF GENERATOR Spares 1 as is where is
109329 Advanced Energy PARAMOUNT-6013 RF GENERATOR Spares 2 as is where is
109330 Advanced Energy PARAMOUNT-6013 RF GENERATOR Spares 1 as is where is
109331 Advanced Energy PDX-1250 RF GENERATOR Spares 1 as is where is immediately
109333 Advanced Energy PDX-900-2V RF GENERATOR Spares 7 as is where is immediately
109334 Advanced Energy PDX-900-2V RF GENERATOR Spares 6 as is where is immediately
109846 Advanced Energy RFG 3000 Generator SPARES 1 as is all rebuilt immediately
109335 Advanced Energy PDX-900-2V RF GENERATOR Spares 3 as is where is immediately
109336 Advanced Energy PDX-900-2V RF GENERATOR Spares 9 as is where is immediately
109337 Advanced Energy PDX-900-2V RF GENERATOR Spares 1 as is where is immediately
109338 Advanced Energy PE-1000 RF GENERATOR Spares 1 as is where is
109339 Advanced Energy PINACLE-20K RF GENERATOR Spares 7 as is where is
109340 Advanced Energy PINACLE-20K RF GENERATOR Spares 10 as is where is
109341 Advanced Energy PINACLE-20K RF GENERATOR Spares 1 as is where is
109342 Advanced Energy RAPID-F RF GENERATOR Spares 4 as is where is
109343 Advanced Energy RAPID-F RF GENERATOR Spares 1 as is where is
109344 Advanced Energy RAPID-F RF GENERATOR Spares 11 as is where is
109345 Advanced Energy RAPID-F RF GENERATOR Spares 1 as is where is
109346 Advanced Energy RFG-3001 RF GENERATOR Spares 1 as is where is
109347 Advanced Energy VM-5000 RF GENERATOR Spares 1 as is where is
109348 Advanced Energy VM-5000 RF GENERATOR Spares 1 as is where is
109349 Advanced Energy XSTREAM-8K RF GENERATOR Spares 1 as is where is
109876 Advanced Energy RFX II 3000 Generator SPARES 1 as is all rebuilt immediately
107064 Advanced Energy AZX 63 Controller HTCM Miscellaneous Spares 1 as is where is immediately
107075 Advanced Energy Cesar 1312 RF Generator Spares 1 as is where is immediately
109637 Advanced Energy Apex 1513 Generator SPARES 1 inquire
110680 Advanced Energy Paramount 3013 RF generators 7 as is all rebuilt immediately
107108 Advanced Energy Hilight 133 RF Generator Spares 1 as is where is immediately
107111 Advanced Energy Integro 136 RF Generator Spares 1 as is all rebuilt
107114 Advanced Energy LF-5 RF Generator Spares 1 as is where is immediately
107168 Advanced Energy PDX 2500 RF Generator Spares 1 as is where is immediately
107176 Advanced Energy Pinnacle 10k RF Generator Spares 1 as is where is
108719 ADVANCED ENERGY MDX Magnetron Drive p/n 2011-000-D spares 1 as is where is immediately
107209 Advanced Energy Pinnacle Plus 5k RF Generator Spares 1 as is where is immediately
107241 Advanced Energy RFX 600 RF Generator Spares 1 as is where is
109293 Advanced Energy APEX-1513 RF GENERATOR Spares 23 as is where is
107246 Advanced Energy RFX 600A RF Generator Spares 1 as is all rebuilt immediately
109294 Advanced Energy APEX-1513 RF GENERATOR Spares 1 as is where is
109295 Advanced Energy APEX-1513 RF GENERATOR Spares 1 as is where is
109296 Advanced Energy APEX-3013 RF GENERATOR Spares 15 as is where is
109297 Advanced Energy APEX-3013 RF GENERATOR Spares 2 as is where is
109298 Advanced Energy APEX-3013 RF GENERATOR Spares 2 as is where is
109299 Advanced Energy APEX-3013 RF GENERATOR Spares 1 as is where is
109300 Advanced Energy ATX-600 RF GENERATOR Spares 1 as is where is
109301 Advanced Energy ATX-600 RF GENERATOR Spares 1 as is where is
109302 Advanced Energy HFV-8000 RF GENERATOR Spares 1 as is where is
109303 Advanced Energy ION SOURCE SUPPLY RF GENERATOR Spares 1 as is where is
109304 Advanced Energy MDX-10K RF GENERATOR Spares 1 as is where is
109305 Advanced Energy MDX-10K RF GENERATOR Spares 2 as is where is
109306 Advanced Energy MDX-10K RF GENERATOR Spares 1 as is where is
109307 Advanced Energy MDX-20K RF GENERATOR Spares 1 as is where is
109308 Advanced Energy MDX-L12 RF GENERATOR Spares 1 as is where is immediately
109309 Advanced Energy MDX-L12 RF GENERATOR Spares 1 as is where is
109310 Advanced Energy MDX-L6 RF GENERATOR Spares 1 as is where is immediately
109311 Advanced Energy NAVIGATOR-10013 RF GENERATOR Spares 1 as is where is
77166 Advantech IPC-5475 data acquisition card Spares 3 as is where is immediately
77167 Advantech HK-6323 data acquisition card ISA Spares 2 as is where is immediately
77206 Advantech PCL-745B data acquisition card 2-port RS422/485 Rev B1 Spares 1 as is where is immediately
77207 Advantech PCL-730 data acquisition card 32ch TTL DIO Spares 1 as is where is immediately
74142 Advantech PCL-730 32-ch Isolated Digital I/O Card w/32ch TTL DIO 31.12.2007 2 as is where is
74143 Advantech PCL-745B 2-port RS-422/485 ISA COMM Card w/ isolation 31.12.2007 2 as is where is
108548 ADVANTEST M6751AD Test Handler 200 MM 1 as is where is
108549 ADVANTEST T5382A1 Test System TEST 1 as is where is immediately
108550 ADVANTEST T5585 Test System 200 mm 1 as is where is immediately
108551 ADVANTEST M6541AD Test Handler 200 mm 1 as is where is
109575 ADVANTEST T5377 Automated test Equipment Test 01.06.2010 6 as is where is immediately
108552 ADVANTEST M6541AD Test Handler 200 mm 01.12.2000 1 as is where is immediately
109576 ADVANTEST T5593 Automated test Equipment Test 01.06.2004 1 as is where is immediately
108553 ADVANTEST M6541AD Test Handler 200 mm 1 as is where is immediately
109577 ADVANTEST T5585 Automated test Equipment Test 01.06.2001 1 as is where is immediately
108554 ADVANTEST M6541AD Test Handler 200 mm 1 as is where is
109068 Advantest M6541AD Test handler with Cold Option TEST 1 inquire immediately
108045 Advantest M6771AD TEST HANDLER TEST 1 as is where is
108048 Advantest T5371 Automated test equipment TEST 5 as is where is
108050 Advantest T5585 Automated test equipment TEST 1 as is where is
108057 Advantest T5381ES ATE Tester TEST 3 as is where is
94490 Advantest T5375 Automated Test Equipment TEST 2 as is where is immediately
108058 Advantest T5585 ATE Tester TEST 1 as is where is
83498 Advantest BGR-016797 ADVANTEST T5335P PC Board (was 80207) 6 as is where is immediately
83499 Advantest BGR-016796 ADVANTEST T5335P PC Board Spares 5 as is where is immediately
83500 Advantest BGR-021096 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
83501 Advantest BGR-020765 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
83502 Advantest BGR-017418 ADVANTEST T5335P PC Board HV PPS Spares 2 as is where is immediately
78639 Advantest T5335P (Spares) Spare Boards from test system (See attached list for details) TEST 01.11.1997 1 as is where is immediately
83503 Advantest BGK-017719 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
83504 Advantest BGK-011702 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
99379 ADVANTEST T5375 High-Speed Flash Memory tester TEST 1 as is where is immediately
99380 ADVANTEST T5771ES Automated Test Equipment for laboratory or office use TEST 1 as is where is immediately
89909 Advantest Hifix for PQFP80 (14 x 20) Hi-fix for Advantest T5371 package type PQFP80 (14 x 20) Spares 31.05.2005 1 as is where is immediately
76604 Advantest TR6846 Digital Multimeter Electronics test and measurement 1 as is where is immediately
99388 Advantest Versatest V4000 Automated Test Equipment for laboratory or office use TEST 01.06.2006 1 as is where is immediately
100668 Advantest V3300 Memory Tester TEST 1 as is where is
99389 Advantest V4000 Automated Test Equipment TEST 1 as is where is immediately
99390 Advantest V4000 Automated Test Equipment TEST 1 as is where is immediately
109120 Advantest V5000 Automated Test equipment TEST 01.06.2010 11 as is where is immediately
80194 Advantest BGR-020773 ADVANTEST T5335P PC Board Spares 7 as is where is immediately
80195 Advantest BGR-020853 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80196 Advantest BGR-020816X02 ADVANTEST T5335P PC Board 2 as is where is immediately
80197 Advantest BGR-020774 rev x2 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80198 Advantest BGR-020814 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80199 Advantest BGR-020771 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80200 Advantest BGR-020509 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80201 Advantest BGR-020772 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80202 Advantest BGR-017577 ADVANTEST T5335P PC Board BGR-017575 Spares 2 as is where is
106570 ADVANTEST T5371 Memory Tester TEST 01.06.2000 1 as is where is
80203 Advantest BGR-019486 ADVANTEST T5335P PC Board Spares 11 as is where is immediately
106571 ADVANTEST T5371 Memory Tester TEST 01.06.2000 1 as is where is
109131 Advantest T5585 Memory Tester TEST 1 as is where is
80204 Advantest BGR-020851 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
106572 ADVANTEST T5585 Memory Tester TEST 01.06.2001 1 as is where is
109132 Advantest T5585 Memory Tester TEST 1 as is where is
80205 Advantest BGR-017578 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
106573 ADVANTEST T5585 Memory Tester TEST 1 as is where is
80206 Advantest BGR-017579 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80207 Advantest BGR-016796 ADVANTEST T5335P PC Board PGR-816796CC3 Spares 6 as is where is immediately
80208 Advantest BGR-018931 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80209 Advantest BGR-016794 PGR-816794CC3 PC Board Spares 2 as is where is immediately
80210 Advantest BGR-016793 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
83550 Advantest WUN-MONITORBOX ADVANTEST power supply monitoring box, T5335P Spares 2 as is where is immediately
87652 Advantest T5371 Test system (With a single test head ) Test 1 as is where is immediately
92006 Advantest BGR-016796 ADVANTEST T5335P PC Board spares 1 as is where is immediately
92007 Advantest BGR-018822 ADVANTEST T5335P PC Board spares 1 as is where is immediately
92008 Advantest BGR-017418 ADVANTEST T5335P PC Board spares 1 as is where is immediately
92009 Advantest T5335P Boards from an Advantest T5335P Test system spares 18 as is where is immediately
103812 Advantest T5335P Automated Test System test 1 as is where is immediately
80293 Advantest BGR-019267 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80294 Advantest BGR-020900 ADVANTEST T5335P PC Board Spares 4 as is where is immediately
80295 Advantest BGR-019266 ADVANTEST T5335P MRA I/F PC Board Spares 1 as is where is immediately
80296 Advantest BGR-017417 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80297 Advantest BGR-018824 Rev X03 ADVANTEST T5335P PC Board Spares 8 as is where is immediately
80298 Advantest BGR-018823 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80299 Advantest BGR-018822 ADVANTEST T5335P PC Board PGR-818822BB2 Spares 4 as is where is immediately
80300 Advantest BGR-018125 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80301 Advantest BGR-020815 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80302 Advantest BIR-021807 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
110772 Advantest M6542AD TEST HANDLER WITH COLD OPTION TEST 1 inquire immediately
108233 Advantest M6451AD TEST HANDLER TEST 01.06.2004 1 as is where is
108234 Advantest M6451AD TEST HANDLER TEST 01.06.2004 1 as is where is
108235 Advantest M6451AD TEST HANDLER TEST 01.06.2004 1 as is where is
108236 Advantest M6451AD TEST HANDLER TEST 01.06.2004 1 as is where is
108237 Advantest M6451AD TEST HANDLER TEST 01.06.2002 1 as is where is
108238 Advantest M6451AD TEST HANDLER TEST 01.06.2003 1 as is where is
108239 Advantest M6451AD TEST HANDLER TEST 01.06.2001 1 as is where is
108240 Advantest M6451AD TEST HANDLER TEST 01.06.2003 1 as is where is
108241 Advantest M6751AD TEST HANDLER TEST 01.06.2001 1 as is where is
108242 Advantest T5371 AUTOMATED TEST EQUIPMENT TEST 01.06.2001 1 as is where is
108243 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108244 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108245 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108246 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108247 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2003 1 as is where is
108248 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2002 1 as is where is
108249 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2001 1 as is where is
108250 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2003 1 as is where is
109022 Advantest Versatest V6000 Test Engineering Workstation for Office or Laboratory Use Test 01.05.2008 1 as is where is immediately
109538 ADVANTEST 93000 PS1600 Automated Test Equipment for SOC testing TEST 01.02.2020 1 as is where is immediately
82926 Advantest WUN-H90554AIR ADVANTEST air control unit Spares 1 as is where is immediately
108993 Advantest / アドバンテスト BGR-018823 REV X03 PC BOARD FOR ADVANTEST T5335P Spares 1 immediately
107109 AE Hilight 136 RF Generator Spares 1 as is where is immediately
107110 AE Hilight 136 RF Generator Spares 1 as is where is immediately
107258 AE RFX II 3000 RF Generator Spares 1 as is all rebuilt immediately
109824 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109825 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109826 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109827 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109828 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109829 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109830 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109831 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109832 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109833 AE (Advanced Energy) PMH13/3000 Miscellaneous SPARES 1 inquire
109834 AE (Advanced Energy) PMH13/3000 Miscellaneous SPARES 1 inquire
109835 AE (Advanced Energy) PMH13/3000 Miscellaneous SPARES 1 inquire
109836 AE (Advanced Energy) Power Sensor Miscellaneous SPARES 1 inquire
109837 AE (Advanced Energy) RF-20 Generator SPARES 1 inquire
109838 AE (Advanced Energy) RF-30P Generator SPARES 1 inquire
109839 AE (Advanced Energy) RF-30S Generator SPARES 1 inquire
109840 AE (Advanced Energy) RF-30S Generator SPARES 1 inquire
109841 AE (Advanced Energy) RF-5S Generator SPARES 1 inquire
109842 AE (Advanced Energy) RF-5S Generator SPARES 1 inquire
109843 AE (Advanced Energy) RF-5S Generator SPARES 1 inquire
109844 AE (Advanced Energy) RF-5S Generator SPARES 1 inquire
109847 AE (Advanced Energy) RFG 3002 Generator SPARES 1 inquire
109848 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109849 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109850 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109851 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109852 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109853 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109854 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109855 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109856 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109857 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109858 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109859 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109860 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109861 AE (Advanced Energy) RFX 600 Generator SPARES 1 inquire
109862 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109863 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109864 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109865 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109866 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109867 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109868 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109869 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109870 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109871 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109872 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109873 AE (Advanced Energy) RFX 600A Generator SPARES 1 inquire
109874 AE (Advanced Energy) RFX II 1250 Generator SPARES 1 inquire
109875 AE (Advanced Energy) RFX II 3000 Generator SPARES 1 inquire
109877 AE (Advanced Energy) RFX II 3000 Generator SPARES 1 inquire
109878 AE (Advanced Energy) RFX II 3000 Generator SPARES 1 inquire
109879 AE (Advanced Energy) RFX II 3000 Generator SPARES 1 inquire
109880 AE (Advanced Energy) RFX II Controller Miscellaneous SPARES 1 inquire
109881 AE (Advanced Energy) Sparc-le 20 Power Supply SPARES 1 inquire
109882 AE (Advanced Energy) Sparc-le V Generator SPARES 1 inquire
109883 AE (Advanced Energy) Sparc-le V Generator SPARES 1 inquire
109884 AE (Advanced Energy) Sparc-le-V Generator SPARES 1 inquire
109885 AE (Advanced Energy) TCM Controller Miscellaneous SPARES 1 inquire
109630 AE (Advanced Energy) AM-20 Match SPARES 1 inquire
109886 AE (Advanced Energy) Verteq Generator SPARES 1 inquire
109631 AE (Advanced Energy) AMNPS-2A Controller Miscellaneous SPARES 1 inquire
109887 AE (Advanced Energy) Verteq Generator SPARES 1 inquire
109632 AE (Advanced Energy) AMNPS-2A Controller Miscellaneous SPARES 1 inquire
109888 AE (Advanced Energy) Verteq Generator SPARES 1 inquire
109633 AE (Advanced Energy) Analog Control Fixture Miscellaneous SPARES 1 inquire
109889 AE (Advanced Energy) VHF2060 Generator SPARES 1 inquire
109634 AE (Advanced Energy) Analog Control Fixture Miscellaneous SPARES 1 inquire
109890 AE (Advanced Energy) VHF2060 Generator SPARES 1 inquire
109635 AE (Advanced Energy) Analog Control Fixture Miscellaneous SPARES 1 inquire
109891 AE (Advanced Energy) VHF2060 Generator SPARES 1 inquire
109636 AE (Advanced Energy) Analog Control Fixture Miscellaneous SPARES 1 inquire
109892 AE (Advanced Energy) VM 1000 A Match SPARES 1 inquire
109893 AE (Advanced Energy) Z Scan Miscellaneous SPARES 1 inquire
109638 AE (Advanced Energy) Apex 1513 Generator SPARES 1 inquire
109639 AE (Advanced Energy) Apex 1513 Generator SPARES 1 inquire
109640 AE (Advanced Energy) Apex 1513 Generator SPARES 1 inquire
109641 AE (Advanced Energy) Apex 3013 Generator SPARES 1 inquire
109642 AE (Advanced Energy) Apex 3013 Generator SPARES 1 inquire
109643 AE (Advanced Energy) Apex 5513 Generator SPARES 1 inquire
109644 AE (Advanced Energy) Aspect 2513 Generator SPARES 1 inquire
109645 AE (Advanced Energy) Aspect 2513 Generator SPARES 1 inquire
109646 AE (Advanced Energy) Aspect 5013 Generator SPARES 1 inquire
109647 AE (Advanced Energy) ATN Match Match SPARES 1 inquire
109648 AE (Advanced Energy) ATX 600 Match SPARES 1 inquire
109649 AE (Advanced Energy) ATX 600 Match SPARES 1 inquire
109650 AE (Advanced Energy) ATX 600 Match SPARES 1 inquire
109651 AE (Advanced Energy) ATX 600 Match SPARES 1 inquire
109652 AE (Advanced Energy) ATX 600 Controller Miscellaneous SPARES 1 inquire
109653 AE (Advanced Energy) AZX 10 Match SPARES 1 inquire
109654 AE (Advanced Energy) AZX 10 Match SPARES 1 inquire
109655 AE (Advanced Energy) AZX 10 Match SPARES 1 inquire
109656 AE (Advanced Energy) AZX 10 Controller Miscellaneous SPARES 1 inquire
109657 AE (Advanced Energy) AZX 63 Bias Match SPARES 1 inquire
109658 AE (Advanced Energy) AZX 63 Bias Match SPARES 1 inquire
109659 AE (Advanced Energy) AZX 63 Controller HTCM Miscellaneous SPARES 1 inquire
109660 AE (Advanced Energy) AZX 63 Controller HTCM Miscellaneous SPARES 1 inquire
109661 AE (Advanced Energy) AZX Box Module Match SPARES 1 inquire
109662 AE (Advanced Energy) AZX Controller Miscellaneous SPARES 1 inquire
109663 AE (Advanced Energy) AZX Controller Miscellaneous SPARES 1 inquire
109664 AE (Advanced Energy) AZX Controller Miscellaneous SPARES 1 inquire
109665 AE (Advanced Energy) AZX Controller Miscellaneous SPARES 1 inquire
109666 AE (Advanced Energy) Cesar Generator SPARES 1 inquire
109667 AE (Advanced Energy) Cesar 0230 Generator SPARES 1 inquire
109668 AE (Advanced Energy) Cesar 1310 Generator SPARES 1 inquire
109669 AE (Advanced Energy) Cesar 1312 Generator SPARES 1 inquire
109670 AE (Advanced Energy) Cesar 1312 Generator SPARES 1 inquire
109671 AE (Advanced Energy) Cesar 136 Generator SPARES 1 inquire
109672 AE (Advanced Energy) Cesar 136 Generator SPARES 1 inquire
109673 AE (Advanced Energy) Cesar 2710 Generator SPARES 1 inquire
109674 AE (Advanced Energy) Cesar 2720 Generator SPARES 1 inquire
109675 AE (Advanced Energy) Cesar 2720 Generator SPARES 1 inquire
109676 AE (Advanced Energy) Cesar 2720 Generator SPARES 1 inquire
109677 AE (Advanced Energy) Controller Miscellaneous SPARES 1 inquire
109678 AE (Advanced Energy) Counter/Display Match SPARES 1 inquire
109679 AE (Advanced Energy) Dome Match Match SPARES 1 inquire
109680 AE (Advanced Energy) Dome Match Match SPARES 1 inquire
109681 AE (Advanced Energy) Dome Match Match SPARES 1 inquire
109682 AE (Advanced Energy) Dome Match Match SPARES 1 inquire
109683 AE (Advanced Energy) Fixed Match Match SPARES 1 inquire
109684 AE (Advanced Energy) Fixed Match Match SPARES 1 inquire
109685 AE (Advanced Energy) Gencal Power Meter Miscellaneous SPARES 1 inquire
109686 AE (Advanced Energy) Gencal Power Meter Miscellaneous SPARES 1 inquire
109687 AE (Advanced Energy) Gencal Power Meter Miscellaneous SPARES 1 inquire
109688 AE (Advanced Energy) Gencal Power Meter Miscellaneous SPARES 1 inquire
109689 AE (Advanced Energy) Gencal Power Meter Miscellaneous SPARES 1 inquire
109690 AE (Advanced Energy) Gencal Power Meter Miscellaneous SPARES 1 inquire
109691 AE (Advanced Energy) GenCal Power Sensor Miscellaneous SPARES 1 inquire
109692 AE (Advanced Energy) GenCal Power Sensor Miscellaneous SPARES 1 inquire
109693 AE (Advanced Energy) GenCal Power Sensor Miscellaneous SPARES 1 inquire
109694 AE (Advanced Energy) HFG 5000 Generator SPARES 1 inquire
109695 AE (Advanced Energy) HFV 8000 Generator SPARES 1 inquire
109696 AE (Advanced Energy) HFV 8000 Generator SPARES 1 inquire
109697 AE (Advanced Energy) HFV 8000 Generator SPARES 1 inquire
109698 AE (Advanced Energy) HFV 8000 Generator SPARES 1 inquire
109699 AE (Advanced Energy) Hilight 133 Generator SPARES 1 inquire
109700 AE (Advanced Energy) Hilight 133 Generator SPARES 1 inquire
109701 AE (Advanced Energy) Hilight 133 Generator SPARES 1 inquire
109702 AE (Advanced Energy) Hilight 133 Generator SPARES 1 inquire
109703 AE (Advanced Energy) Hilight 136 Generator SPARES 1 inquire
109704 AE (Advanced Energy) Hilight 136 Generator SPARES 1 inquire
109705 AE (Advanced Energy) ION Source Supply Generator SPARES 1 inquire
109706 AE (Advanced Energy) LF-5 Generator SPARES 1 inquire
109707 AE (Advanced Energy) LF-5 Generator SPARES 1 inquire
109708 AE (Advanced Energy) LF-5 Generator SPARES 1 inquire
109709 AE (Advanced Energy) LF-5 Generator SPARES 1 inquire
109710 AE (Advanced Energy) LF-5 Generator SPARES 1 inquire
109711 AE (Advanced Energy) MDX 1.5 Generator SPARES 1 inquire
109712 AE (Advanced Energy) MDX 1.5K Generator SPARES 1 inquire
109713 AE (Advanced Energy) MDX 1.5K Generator SPARES 1 inquire
109714 AE (Advanced Energy) MDX 10K Generator SPARES 1 inquire
109715 AE (Advanced Energy) MDX 20K Master Generator SPARES 1 inquire
109716 AE (Advanced Energy) MDX 20K Master Generator SPARES 1 inquire
109717 AE (Advanced Energy) MDX 20K Master Generator SPARES 1 inquire
109718 AE (Advanced Energy) MDX 20K Master Generator SPARES 1 inquire
109719 AE (Advanced Energy) MDX Controller Miscellaneous SPARES 1 inquire
109720 AE (Advanced Energy) MDX DELTA SLAVE Generator SPARES 1 inquire
109721 AE (Advanced Energy) MDX II Calibration Box Miscellaneous SPARES 1 inquire
109722 AE (Advanced Energy) MDX Magnetron Generator SPARES 1 inquire
109723 AE (Advanced Energy) MDX Magnetron Drive Generator SPARES 1 inquire
109724 AE (Advanced Energy) MDX Master Generator SPARES 1 inquire
109725 AE (Advanced Energy) MDX Slave Generator SPARES 1 inquire
109726 AE (Advanced Energy) MDX-10K Master Generator SPARES 1 inquire
109727 AE (Advanced Energy) MDX-10K Master Generator SPARES 1 inquire
109728 AE (Advanced Energy) MDX-10K Slave Generator SPARES 1 inquire
109729 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109730 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109731 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109732 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109733 AE (Advanced Energy) MDX-5K Generator SPARES 1 inquire
109734 AE (Advanced Energy) MDX-5K Generator SPARES 1 inquire
109735 AE (Advanced Energy) MDX-5K Generator SPARES 1 inquire
109736 AE (Advanced Energy) MDX-L Diagnostic Controller Miscellaneous SPARES 1 inquire
109737 AE (Advanced Energy) MDX-L Diagnostic Controller Miscellaneous SPARES 1 inquire
109738 AE (Advanced Energy) MDX-L Diagnostic Controller Miscellaneous SPARES 1 inquire
109739 AE (Advanced Energy) Mercury 10013 Match SPARES 1 inquire
109740 AE (Advanced Energy) Mercury 10013 Match SPARES 1 inquire
109741 AE (Advanced Energy) Mercury 10013 Match SPARES 1 inquire
109742 AE (Advanced Energy) Mercury 10013 Match SPARES 1 inquire
109743 AE (Advanced Energy) Mercury d2 Match SPARES 1 inquire
109744 AE (Advanced Energy) Mini-Panel Miscellaneous SPARES 1 inquire
109745 AE (Advanced Energy) Mini-Panel Miscellaneous SPARES 1 inquire
109746 AE (Advanced Energy) Navigator Match SPARES 1 inquire
109747 AE (Advanced Energy) Navigator 10013-L80 Match SPARES 1 inquire
109748 AE (Advanced Energy) Navigator Match Match SPARES 1 inquire
109749 AE (Advanced Energy) Navigator Match Match SPARES 1 inquire
109750 AE (Advanced Energy) Ovation 2060 Generator SPARES 1 inquire
109751 AE (Advanced Energy) Ovation 2760 Generator SPARES 1 inquire
109752 AE (Advanced Energy) Ovation 35162 Generator SPARES 1 inquire
109753 AE (Advanced Energy) Ovation 35162 Generator SPARES 1 inquire
109754 AE (Advanced Energy) Ovation 35162 Generator SPARES 1 inquire
109755 AE (Advanced Energy) Paramount 3013 Generator SPARES 1 inquire
109756 AE (Advanced Energy) Paramount 3013 Generator SPARES 1 inquire
109757 AE (Advanced Energy) PDW 2200 Generator SPARES 1 inquire
109758 AE (Advanced Energy) PDW 600 Generator SPARES 1 inquire
109759 AE (Advanced Energy) PDW 600 Generator SPARES 1 inquire
109760 AE (Advanced Energy) PDW Controller Miscellaneous SPARES 1 inquire
109761 AE (Advanced Energy) PDW Controller Miscellaneous SPARES 1 inquire
109762 AE (Advanced Energy) PDW Controller Miscellaneous SPARES 1 inquire
109763 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109764 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109765 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109766 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109767 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109768 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109769 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109770 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109771 AE (Advanced Energy) PDX 2500 Generator SPARES 1 inquire
109772 AE (Advanced Energy) PDX II PLASMA DRIVE 2000 Generator SPARES 1 inquire
109773 AE (Advanced Energy) PE-1000 Generator SPARES 1 inquire
109774 AE (Advanced Energy) PE-2500 Generator SPARES 1 inquire
109775 AE (Advanced Energy) PE-2500 Generator SPARES 1 inquire
109776 AE (Advanced Energy) PE-2500 Generator SPARES 1 inquire
109777 AE (Advanced Energy) PE-2500 Generator SPARES 1 inquire
109778 AE (Advanced Energy) PEII 5K Generator SPARES 1 inquire
109779 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109780 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109781 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109782 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109783 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109784 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109785 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109786 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109787 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109788 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109789 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109790 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109791 AE (Advanced Energy) Pinnacle 12k Generator SPARES 1 inquire
109792 AE (Advanced Energy) Pinnacle 12k Generator SPARES 1 inquire
109793 AE (Advanced Energy) Pinnacle 12k Generator SPARES 1 inquire
109794 AE (Advanced Energy) Pinnacle 15k Generator SPARES 1 inquire
109795 AE (Advanced Energy) Pinnacle 15k Generator SPARES 1 inquire
109796 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109797 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109798 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109799 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109800 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109801 AE (Advanced Energy) Pinnacle 20k Generator SPARES 1 inquire
109802 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109803 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109804 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109805 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109806 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109807 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109808 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109809 AE (Advanced Energy) Pinnacle 6k Generator SPARES 1 inquire
109810 AE (Advanced Energy) Pinnacle Controller Miscellaneous SPARES 1 inquire
109811 AE (Advanced Energy) Pinnacle Controller Miscellaneous SPARES 1 inquire
109812 AE (Advanced Energy) Pinnacle Controller Miscellaneous SPARES 1 inquire
109813 AE (Advanced Energy) Pinnacle Controller Miscellaneous SPARES 1 inquire
109814 AE (Advanced Energy) Pinnacle Controller Miscellaneous SPARES 1 inquire
109815 AE (Advanced Energy) Pinnacle Controller Miscellaneous SPARES 1 inquire
109816 AE (Advanced Energy) Pinnacle Controller Miscellaneous SPARES 1 inquire
109817 AE (Advanced Energy) Pinnacle Dual 6k Generator SPARES 1 inquire
109818 AE (Advanced Energy) Pinnacle Plus 10k Generator SPARES 1 inquire
109819 AE (Advanced Energy) Pinnacle Plus 10k Generator SPARES 1 inquire
109820 AE (Advanced Energy) Pinnacle Plus 10k Generator SPARES 1 inquire
109821 AE (Advanced Energy) Pinnacle Plus 10k Generator SPARES 1 inquire
109822 AE (Advanced Energy) Pinnacle Plus 5k Generator SPARES 1 inquire
109823 AE (Advanced Energy) PMH 13/3000 Miscellaneous SPARES 1 inquire
81826 AEG 2A 400-100 H Power Driver Controller 2A Spares 31.12.2004 1 as is where is immediately
108795 AET TECHNOLOGIES Four de Recuit Rapide RTP Tool 1 inquire
108720 AG Associates Heatpulse 410 Rapid Thermal Processor 1 as is where is
108796 AG ASSOCIATES Heatpulse 410 Benchtop RTP Tool 1 inquire
108555 Agilent 16702A LOGIC ANALISYS SYSYEM TEST 1 as is where is immediately
109894 Agilent Multimeter Miscellaneous SPARES 1 inquire
109895 Agilent Multimeter Miscellaneous SPARES 1 inquire
109896 Agilent Multimeter Miscellaneous SPARES 1 inquire
101035 Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator Spares 31.03.2008 1 as is where is immediately
101036 Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator Spares 31.05.2008 1 as is where is immediately
18870 AGILENT 03577-90212 AGILENT 3571A network analyzer service manual 1 as is where is
53031 Agilent / HP / Verigy GPIB IEEE488 Cable GPIB IEEE488 Cable spares 1 as is where is immediately
108897 Agilent / Verigy 4073B PARAMETRIC TEST SYSTEM TEST 01.05.2011 1 as is where is immediately
108899 Agilent / Verigy 4073A PARAMETRIC TEST SYSTEM TEST 01.05.2001 1 as is where is
10544 Agilent / Verigy / Keysight 4261A LCR METER TEST 1 as is where is immediately
76605 Agilent / Verigy / Keysight 41501B SMU and Pulse Generator Expander 2 units TEST 01.05.2001 1 as is where is immediately
18868 Agilent / Verigy / Keysight 5335A Universal Frequency Counter Electronics Test and Measurement 1 as is where is immediately
18869 Agilent / Verigy / Keysight E4915A Crystal impedance LCR meter Electronics Test and Measurement 1 as is where is immediately
79588 Agilent / Verigy / Keysight 1671G Logic Analyzer test 01.09.2007 1 as is where is immediately
79589 Agilent / Verigy / Keysight 1671G Logic Analyzer test 30.09.2008 1 as is where is immediately
109897 AGL Inc Microwave Generator Generator SPARES 1 inquire
80267 Air Products Precision Tool Manometer 1.5 Bar spares 9 as is where is immediately
80268 AIR PRODUCTS R-704-VCR PRESSURE REGULATORS TEST APSA46/2250 30.04.1993 2 as is where is immediately
83904 AIR PRODUCTS F-074-VCR PRESSURE REGULATOR 10-50L MIN HCL P/N: 101203-2 01.10.1989 1 as is where is immediately
108721 AIR SYSTEM INTL SVB-E8EXP Electric Blower, Explosion Proof FACILITIES 1 as is where is immediately
108797 AIRCO TEMESCAL CV-8-210 E Beam Power Supply Controller, 3ea Available - Parts Only SPARES 1 inquire
109350 AIXCON Y2000 RF GENERATOR Spares 1 as is where is
109351 AIXCON Y2000 RF GENERATOR Spares 1 as is where is
111368 Aixtron G3 2600 Reactor 01.01.2007 1 as is where is immediately
108709 Akrion Goldfinger Velocity 4 Single wafer cleaning system 300 MM 01.06.2007 1 inquire immediately
108722 AKRION V2-SA.3200 Wet Process Station Including Tanks 150 mm 01.12.1996 1 as is where is immediately
108723 AKRION V2-HL.2000 Acid Wet Bench 150 mm 1 inquire immediately
84766 AKT NAR 1800/8 G6 ITO Vertical In-line Sputtering Machine for LCD / TFT panel G6 730 mm x 920 mm (G6) 30.11.2013 1 as is where is immediately
108712 AKT 1600 PECVD Gen 2 PECVD deposition system Gen 2 01.05.2005 1 inquire immediately
108724 Alcan Tech/Canon MAS-8000 Microwave Ashing System 200 mm 1 as is where is immediately
77087 Alcatel flange Alcatel pump flange 4" ID 5 1/4" OD, SST 1 as is where is
108725 ALCATEL 5150CP Turbo Molecular Vacuum Pump PUMP 2 as is where is immediately
109574 Alcatel Adixen ADS1202H Dry vacuum Pump PUMP 118 as is where is immediately
110754 Alcatel Adixen ADS1202H Dry vacuum Pump PUMP 5 as is where is immediately
108726 ALESSI REL-4500 Analytical Wafer Prober with 6" (dia.) Gold Plated Chuck 150 mm 1 inquire immediately
108798 ALESSI REL- Series 5000 Analytical Prober with Mitutoyo FS-70 Zoom Microscope with LWD Objective Lenses, New Wave QuikLaze 532/355 Laser with 50X Lens, Missing Computer 1 inquire
108799 ALESSI REL-4100A Analytical Prober 1 inquire
77093 Allen Bradley 800F-PN3GX11 Contact module 24V, AMI S3320-15-1, pk of 3 1 as is where is
83564 Allen Bradley PanelView Plus 400 Operator Panel, Serial 1 as is where is immediately
83593 Allen Bradley Micro-Logix 1200 PLC Module 1 as is where is immediately
83595 Allen Bradley 1762-IF4 PLC 4-ch input module 1 as is where is immediately
100700 Alphasem DB 608-PRL Die Sort System Assembly 01.09.1996 1 as is where is immediately
108727 ALPHASEM Swissline 9006 (Spares) Automatic Epoxy Die Bonder, Parts Tool Only 200 mm 01.04.1993 1 as is where is immediately
109898 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109899 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109900 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109901 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109902 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109903 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109904 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109905 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109906 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
109907 ALTRONIC Dummy Load Miscellaneous SPARES 1 inquire
86305 AMAT 0010-20422 Endura 5500 PVD 8" Shield treatment and cover assembly 1 as is where is immediately
84551 AMAT 0041-61611 Retaining ring, Titan II, 8" *NEW* 1 as is where is immediately
109908 AMAT 2MHZ Ice Match Match SPARES 1 inquire
109909 AMAT 8300 Match SPARES 1 inquire
109910 AMAT 8300 Match SPARES 1 inquire
109911 AMAT 8300 Match SPARES 1 inquire
109912 AMAT DC Power Supply Power Supply SPARES 1 inquire
109913 AMAT Manual Controller Miscellaneous SPARES 1 inquire
109914 AMAT Manual Controller Miscellaneous SPARES 1 inquire
109915 AMAT Manual Controller Miscellaneous SPARES 1 inquire
109916 AMAT Micro Match Match SPARES 1 inquire
109917 AMAT P5000 Match SPARES 1 inquire
109918 AMAT P5000 Match SPARES 1 inquire
109919 AMAT P5000 Match SPARES 1 inquire
109920 AMAT P5000 Match SPARES 1 inquire
109921 AMAT P5000 Match SPARES 1 inquire
109922 AMAT P5000 Match SPARES 1 inquire
109923 AMAT P5000 Match SPARES 1 inquire
109924 AMAT P5000 Match SPARES 1 inquire
109925 AMAT P5000 Match SPARES 1 inquire
109926 AMAT P5000 Match SPARES 1 inquire
109927 AMAT P5000 Match SPARES 1 inquire
109928 AMAT P5000 Controller Miscellaneous SPARES 1 inquire
109929 AMAT P5000 Controller Miscellaneous SPARES 1 inquire
109930 AMAT P5000 Controller Miscellaneous SPARES 1 inquire
109931 AMAT Phase Mag Detector Miscellaneous SPARES 1 inquire
109932 AMAT Phase Mag Detector Miscellaneous SPARES 1 inquire
109933 AMAT Phase Mag Detector Miscellaneous SPARES 1 inquire
109934 AMAT RF Match Match SPARES 1 inquire
109935 AMAT RF Match Controller Miscellaneous SPARES 1 inquire
109936 AMAT RF Match Controller Miscellaneous SPARES 1 inquire
109937 AMAT RF Match Controller Miscellaneous SPARES 1 inquire
109938 AMAT RF Match Controller Miscellaneous SPARES 1 inquire
109939 AMAT RF Match Controller Miscellaneous SPARES 1 inquire
109940 AMAT RF Match, VOR Match SPARES 1 inquire
109941 AMAT Vectra IMP Match SPARES 1 inquire
83588 AMAT 0040-77544 Rorze Ergo Loader Turn Plate Mirra CMP FABS-202 AMAT 0040-77544 Spares 1 as is where is immediately
108978 AMAT 0010-36736 Heater 1 as is where is immediately
110785 AMAT 0195-01314 Dual zone ceramic heater 300mm 1 as is where is immediately
83669 AMAT 0190-24834-002 MFC Celerity ufc-8165 1L H2 3 as is where is immediately
108131 AMEC PRIMO 3CH Dry etcher 300 mm 1 as is where is
109357 AMETEK SGA200X25E-1DAA RF GENERATOR Spares 15 as is where is
77090 AMI B390.05 belt 1 as is where is
77153 AMI 885 Screen printer Squeegee box lot SMT 1 as is where is immediately
74261 AMI Presco ACL-TS Solar wafer picker tool 31.12.2006 1 as is where is immediately
77188 AMI Presco USP206-2E Solar wafer conveyor, 156mm for use with screen printers, etc. 156mm 1 as is where is immediately
84241 Anaheim Automation TM4500 AA4520 Stepper controller/driver board Spares 1 as is where is immediately
77162 Anaheim Automation 23D306S Stepper motor 3.4VDC 2.9A Spares 1 as is where is immediately
79884 ANDO AF9723 Eprom Gang programmer w/ RAM expansion Electronics Test and Measurement 01.01.1995 1 as is where is immediately
109070 Andre Deluxe Near Field Detection Receiver 0 as is where is immediately
84221 ANELVA 954-7700 Vacuum Feedthrough Spares 1 as is where is immediately
2669 Angelantoni T600 TU5 Large Clean-room Oven with internal blowers FACILITIES 01.07.1995 1 as is where is immediately
10637 Angelantoni T600 TUS Large Clean-room Oven with internal blowers FACILITIES 31.05.1995 1 inquire immediately
110711 AP & S AWP Fully automated Wet Bench 200 MM 01.06.1997 1 as is where is immediately
34740 AP & S TwinStep-B H3P04 Semi-Automatic Wet Bench, used for H3PO4 with a 2 stage Megasonic and QDR 200 mm 01.10.2005 1 as is where is immediately
100908 AP Systems KORONA-1200P METAL RTP 300 mm 31.05.2005 1 as is where is
106883 APEX 5513 RF Generator Spares 01.06.2015 4 as is where is immediately
108132 APPLIED BIOSYSTEM ABI-3700 ANALYZER Automatic Sequencer 01.06.2000 1 as is where is
110848 Applied Materials IT1011-N31-X4 SMC UPA Regulator (X4) - CMP HEAD Spares 5 inquire
110849 Applied Materials 0090-00963 UPA Pressure Transducer - CMP HEAD Spares 5 inquire
110850 Applied Materials 0140-77300 Wafer loss sensor Cable - CMP HEAD Spares 5 inquire
110851 Applied Materials 0140-77631 Head Rotation/ Resolver cable - CMP HEAD Spares 5 inquire
100868 Applied Materials Mirra Mesa CMP System 200 mm 1 inquire 5 months
110852 Applied Materials 0021-77866 Drive spindle clamp - CMP HEAD Spares 5 inquire
108037 Applied Materials Various Spare Parts Spare Parts for sale Spares 1 as is where is immediately
110853 Applied Materials 0190-77116 Flexible disk - CMP HEAD Spares 5 inquire
110854 Applied Materials EE-SX672 Sweep Home flag sensor - CMP HEAD Spares 5 inquire
109063 Applied Materials Mirra Mesa Oxide CMP system, with SMIF 200 mm 1 inquire 1 month
110855 Applied Materials 0140-7763 Head Rotation Power cable HD 1 - CMP HEAD Spares 5 inquire
110856 Applied Materials 0140-7764 Head Rotation Power cable HD 2 - CMP HEAD Spares 5 inquire
100873 Applied Materials Mirra Mesa Integrated Oxide/STI CMP 200 mm 31.05.1999 1 inquire
110857 Applied Materials 0140-7765 Head Rotation Power cable HD3 - CMP HEAD Spares 5 inquire
110858 Applied Materials 0140-7766 Head Rotation Power cable HD4 - CMP HEAD Spares 5 inquire
110859 Applied Materials MIRRA MESA (SPARE PARTS) Head sweep linear guide - CMP HEAD Spares 5 inquire
108556 Applied Materials Uvision 600SP Brightfield Inspection System 300 mm 01.05.2008 1 as is where is immediately
110860 Applied Materials 0100-77040 Head Pneumatics board Titan - CMP HEAD Spares 5 inquire
108557 Applied Materials Centura EPI Epitaxial Deposition, reduced pressure, 2 chamber 300 mm 01.05.2002 1 as is where is immediately
110861 Applied Materials MIRRA MESA (SPARE PARTS) Wafer loss sensor pcb - CMP HEAD Spares 5 inquire
108558 Applied Materials ENDURA CL PVD Cluster tool 300 mm 01.05.2002 1 as is where is
109582 Applied Materials P5000 CVD SiN Process with 2 x CVD chambers 150 MM 01.06.1989 1 as is where is immediately
110862 Applied Materials 0190-38704 SMC2000 - Node 24 - CMP Cleaner Spares 5 inquire
108559 Applied Materials ENDURA II Chamber PVD 300 mm 01.05.2006 1 as is where is immediately
109583 Applied Materials P5000 CVD TEOS Process with 2 x CVD chambers 150 MM 01.06.1989 1 as is where is immediately
110863 Applied Materials 0040-64397 Megasonic Halar Tank - CMP Cleaner Spares 5 inquire
108560 Applied Materials ENDURA II Chamber PVD 300 mm 01.05.2018 1 as is where is immediately
110864 Applied Materials MS1-286X70-4A-Q Megasonic Transducer Plate - CMP Cleaner Spares 5 inquire
108561 Applied Materials ENDURA II Chamber PVD 300 mm 01.05.2018 1 as is where is immediately
110865 Applied Materials AP-50 Megasonic White Knight Pump - CMP Cleaner Spares 5 inquire
110866 Applied Materials Mirra Mesa (Spare parts) mega genentor - CMP Cleaner Spares 5 inquire
110867 Applied Materials ETN23A-SC-B (Orion Pel-Thermo) Megasonic Heat Exchanger - CMP Cleaner Spares 5 inquire
110868 Applied Materials 0021-79131 Walking Beam Finger Pins - CMP Cleaner Spares 5 inquire
110869 Applied Materials 0040-43238 Solid PEEK mega rollar - CMP Cleaner Spares 5 inquire
91158 Applied Materials Centura WCVD WSIX(OPTIMA) 200 mm 31.05.2000 1 inquire
96534 Applied Materials Mirra Mesa CMP system 200 mm 1 inquire
110870 Applied Materials 0190-77181 Brush Position Roller Servomotor Scrubber - CMP Cleaner Spares 5 inquire
91159 Applied Materials Centura WCVD WSIX(OPTIMA) 200 mm 31.05.2001 1 inquire
110871 Applied Materials 0190-77182 Scrubber Brush Servomotor - CMP Cleaner Spares 5 inquire
91160 Applied Materials Centura WCVD WxZ Optima 200 mm 1 as is where is
110872 Applied Materials 0190-77212 Output Station Servo Motor - CMP Cleaner Spares 5 inquire
96537 Applied Materials Mirra Ontrak Poly/STI CMP 200 mm 31.05.1999 1 inquire
110873 Applied Materials Mirra Mesa (Spare parts) SRD finger set - CMP Cleaner Spares 5 inquire
91162 Applied Materials Centura WCVD WSIX(OPTIMA) 200 mm 1 as is where is
96538 Applied Materials Mirra Ontrak Poly/STI CMP 200 mm 31.05.1999 1 inquire
110874 Applied Materials 0190-77200 SRD Servo Motor - CMP Cleaner Spares 5 inquire
108059 Applied Materials CENTURA 2 DPS Deep Trench Etcher, 2 chambers 150 mm 1 as is where is
110875 Applied Materials 1080-01207 Walking Beam Servo Motor x - CMP Cleaner Spares 5 inquire
108060 Applied Materials Centura 5300 HDP Omega Dry etch cluster tool with 2 Chambers SIO2 etch 200 mm 1 as is where is
110620 Applied Materials Centura Enabler Dry etcher 300 mm 1 as is where is
110876 Applied Materials 1080-01207 Walking Beam Servo Motor y - CMP Cleaner Spares 5 inquire
97053 Applied Materials ENDURA2 Chamber only Amber-(Ti) chamber 300 mm 1 as is where is immediately
110877 Applied Materials 0090-77173 Wafer Present Switch - CMP Cleaner Spares 5 inquire
97054 Applied Materials ENDURA2 Chamber only ESIP chamber 300 mm 1 as is where is immediately
110878 Applied Materials 0010-04118 Wafer Presence Sensor - CMP Cleaner Spares 5 inquire
110879 Applied Materials 0090-00915 Megasonice progammable level sensor - CMP Cleaner Spares 5 inquire
91168 Applied Materials Centura WCVD WSIX(OPTIMA) 200 mm 31.05.2000 1 inquire
109088 Applied Materials SEMVISION G3 Lite Defect Review SEM 300 mm 01.08.2007 1 as is where is 6 months
110880 Applied Materials 0090-00916 Megasonic Tank High Level Switch - CMP Cleaner Spares 5 inquire
97057 Applied Materials ENDURA2 Chamber only MOALD (IMP Ti) Chamber 300 mm 1 as is where is immediately
110881 Applied Materials 0090-00917 Megasonic Tank Level Level Switch - CMP Cleaner Spares 5 inquire
110882 Applied Materials 0090-77239 Harness, H202 HH Level Sensor - CMP Cleaner Spares 5 inquire
110883 Applied Materials 0090-77240 Harness, H202 High Level Sensor - CMP Cleaner Spares 5 inquire
110884 Applied Materials 0090-77241 Harness, H202 Low Level Sensor - CMP Cleaner Spares 5 inquire
97061 Applied Materials ENDURA2 Chamber Only WSI chamber only 300 mm 1 as is where is immediately
110885 Applied Materials 0090-77242 Harness, H202 LL Level Sensor - CMP Cleaner Spares 5 inquire
97062 Applied Materials ENDURA2 Chamber Only WSI chamber only 300 mm 1 as is where is immediately
110886 Applied Materials 1400-01056 Input Shuttle Limit Sensors - CMP Cleaner Spares 5 inquire
109095 Applied Materials 0010-10527 Polyimide ESC PEDESTAL, MxP-5200 Poly 8" Flat 200 mm 1 as is where is immediately
110887 Applied Materials 0090-77203 Shuttle Wafer Presence Switch - CMP Cleaner Spares 5 inquire
109352 Applied Materials 0090-05530 RF GENERATOR Spares 1 as is where is
110888 Applied Materials 0090-77179 Gripper sensor harness, arm 1 - CMP Cleaner Spares 5 inquire
109353 Applied Materials 0090-05530 RF GENERATOR Spares 1 as is where is
110889 Applied Materials 0090-77180 Gripper sensor harness, arm 2 - CMP Cleaner Spares 5 inquire
109354 Applied Materials P-5000 RF GENERATOR Spares 1 as is where is
110890 Applied Materials 0090-77181 Gripper sensor harness, arm 3 - CMP Cleaner Spares 5 inquire
109355 Applied Materials P-5000 RF GENERATOR Spares 1 as is where is
110891 Applied Materials 0090-77182 Gripper sensor harness, arm 4 - CMP Cleaner Spares 5 inquire
109356 Applied Materials PVD RF MATCH RF GENERATOR Spares 1 as is where is
110892 Applied Materials 0090-77183 Gripper sensor harness, arm 5 - CMP Cleaner Spares 5 inquire
100909 Applied Materials CENTURA DPS ll MESA T2 Poly Etcher 300 mm 31.05.2015 1 as is where is
110893 Applied Materials 1400-01055 Photosensor Interrupter L Shape W/LED & Amp - CMP Cleaner Spares 5 inquire
91182 Applied Materials P5000 CVD Delta Teos 150 mm 31.05.1993 1 as is where is
100910 Applied Materials CENTURA DPS ll MESA T2 Poly Etcher 300 mm 31.05.2015 1 as is where is
109358 Applied Materials e-MAX RF MATCH RF GENERATOR Spares 6 as is where is
110894 Applied Materials 0090-00861 Harness, Wafer Present Switch - CMP Cleaner Spares 5 inquire
91183 Applied Materials P5000 CVD TEOS, DxL 200 mm 1 as is where is
100911 Applied Materials CENTURA DPS ll MESA T2 Poly Etcher 300 mm 31.05.2016 1 as is where is
109359 Applied Materials HDP-TOP RF GENERATOR Spares 1 as is where is
110895 Applied Materials 0021-79644 Megtank Roller holder - CMP Cleaner Spares 5 inquire
109360 Applied Materials MARKⅡDPS RF GENERATOR Spares 6 as is where is
110896 Applied Materials 0010-77582 Idler Assembly - CMP Cleaner Spares 5 inquire
91185 Applied Materials P5000 CVD DxL 150 mm 31.05.1995 1 as is where is
100913 Applied Materials DPS II AE Poly G3 dry etcher 300 mm 31.05.2006 1 as is where is
103473 Applied Materials CENTURA DPS G3 Poly 1ch / Mesa 1ch 300 mm 31.05.2007 1 as is where is
108337 Applied Materials Centris AdvantEdge G5 Mesa T2 Poly Polysilicon Etch with qty 6 MESA 2 chambers 300 mm 1 as is where is immediately
109361 Applied Materials P-5000 POLY RF GENERATOR Spares 1 as is where is
110897 Applied Materials 0015-77174 Brush wafer roller motor pulley - CMP Cleaner Spares 5 inquire
100914 Applied Materials DPS II AE Poly G3 dry etcher 300 mm 31.05.2007 1 as is where is
103474 Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch 300 mm 31.05.2003 1 as is where is
109362 Applied Materials RF MATCH3 RF GENERATOR Spares 1 as is where is
110898 Applied Materials 0010-05398 zaytran assy dry and wet set - CMP Cleaner Spares 5 inquire
103475 Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch 300 mm 31.05.2005 1 as is where is
110899 Applied Materials 0010-77380 Lower Stopper Assembly - CMP Cleaner Spares 5 inquire
103476 Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch 300 mm 31.05.2010 1 as is where is
110900 Applied Materials 0010-77323 Brush gear assy - CMP Cleaner Spares 5 inquire
91189 Applied Materials P5000 CVD DxL 200 mm 1 as is where is
103477 Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch 300 mm 1 as is where is
110901 Applied Materials 0015-77287 Pulley Driver - CMP Cleaner Spares 5 inquire
91190 Applied Materials P5000 CVD DxL 200 mm 1 as is where is
103478 Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch 300 mm 1 as is where is
110902 Applied Materials 0190-77502 Vessel, Pressure, 2-Chamber - CMP Cleaner Spares 5 inquire
110903 Applied Materials 0190-77397 Chem Vessel, 2.4 Liter - CMP Cleaner Spares 5 inquire
91192 Applied Materials P5000 Mark-II CVD TEOS 200 mm 1 as is where is
109112 Applied Materials Verity 2 CD MEASUREMENT SEM 200 mm 01.06.2004 1 immediately
110904 Applied Materials 0190-77393 Bleed Valve Manifold - CMP Cleaner Spares 5 inquire
91193 Applied Materials P5000 Mark-II CVD TEOS 150 mm 1 as is where is
108345 Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch 300 mm 7 as is where is
110905 Applied Materials 3220-01136 Coupling shaft, Walking beam Y-axis Motor - CMP Cleaner Spares 5 inquire
83514 Applied Materials Opal 7830i Enhanced CD MEASUREMENT SEM FOR 200 mm / 150 mm wafers 100 mm to 200 mm 01.05.1997 1 as is where is immediately
91194 Applied Materials P5000 Mark-II CVD DxL 200 mm 1 as is where is
103482 Applied Materials DPS SILVIA Silvia 2ch / Axiom 1ch 300 mm 1 as is where is
110906 Applied Materials 1010-01437 Quartz Infrared Halogen Lamp - CMP Cleaner Spares 5 inquire
11579 Applied Materials 9200 (Spares for) IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT 200 mm 01.06.1999 1 inquire immediately
91195 Applied Materials P5000 Mark-II CVD TEOS 200 mm 1 as is where is
103483 Applied Materials DPS2 Poly Etcher 300 mm 1 as is where is
108347 Applied Materials Centura AP AdvantEdge G5 Poly Polysilicon Etch 300 mm 2 as is where is
110907 Applied Materials 0190-36511 Devicenet I/O Block Specification - CMP Cleaner Spares 5 inquire
91196 Applied Materials P5000 Mark-II CVD+Etch Sputter 200 mm 1 as is where is
103484 Applied Materials DPS2 Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
108348 Applied Materials Centura AP ASP II - Chamber Only Metal Etch 300 mm 1 as is where is
110908 Applied Materials 0870-01038 200V 100W SGDA - CMP Cleaner Spares 5 inquire
103485 Applied Materials DPS2 AE Poly Etcher 300 mm 1 as is where is
108349 Applied Materials Centura AP DPS AdvantEdge G2 Metal Metal Etch 300 mm 3 as is where is
110909 Applied Materials 0870-01040 200V 200W SGDA - CMP Cleaner Spares 5 inquire
103486 Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
110910 Applied Materials 0870-01039 200V 400W SGDA - CMP Cleaner Spares 5 inquire
91199 Applied Materials P5000 Mark-II CVD+PVD TEOS 2Ch, SPUTTER 2Ch 200 mm 01.05.1997 1 as is where is
103487 Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
107327 Applied Materials Vectra Match RF Match Spares 1 inquire immediately
110911 Applied Materials 1140-01353 Power Supply, DC 24V 4A 100W 115/230VAC SDN 4-24-100 (SL4) - CMP Cleaner Spares 5 inquire
103488 Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
110912 Applied Materials 1140-01367 Power Supply, DC 24V 5A 120W 115/230VAC SDN 5-24-100 (SL5) - CMP Cleaner Spares 5 inquire
91201 Applied Materials P5000 Mark-II CVD+Etch TEOS 2Ch, Sputter 2Ch 200 mm 01.05.2000 1 as is where is
103489 Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
110913 Applied Materials 1140-01368 Power Supply, DC 24V 10A 240W 115/230VAC SDN 10-24-100 (SL10) - CMP Cleaner Spares 5 inquire
103490 Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
110914 Applied Materials 0010-77682 Megasonics Lower Electronics - CMP Cleaner Spares 5 inquire
103491 Applied Materials DPS2 AE MESA (Dry Etch) 300 mm 1 as is where is
110915 Applied Materials 0010-77680 SRD Lower Electronics - CMP Cleaner Spares 5 inquire
91204 Applied Materials P5000 WCVD WxL 200 mm 1 as is where is
103492 Applied Materials DPS2 AE MINOS (Dry Etch) 300 mm 31.05.2005 1 as is where is
108356 Applied Materials Endura II Chamber: CIP W PVD PVD (Physical Vapor Deposition) 300 mm 1 as is where is
110916 Applied Materials 0010-77681 System Lower Electronics - CMP Cleaner Spares 5 inquire
91205 Applied Materials P5000 WCVD WxL 200 mm 1 as is where is
103493 Applied Materials DPS2 AE MINOS (Dry Etch) 300 mm 31.05.2006 1 as is where is
108357 Applied Materials Endura II Front-End Metallization PVD (Physical Vapor Deposition) 300 mm 3 as is where is
110661 APPLIED MATERIALS UVISION 5 Bright Field Inspection 300 mm 01.06.2011 1 as is where is immediately
110917 Applied Materials 0190-06673 Fabs Robot Assy - CMP FABS Spares 5 inquire
103494 Applied Materials DPS2 AE MESA (Dry Etch) 300 mm 31.05.2019 1 as is where is
110918 Applied Materials 3350-50000 Fabs Robot Ceramic Blade - CMP FABS Spares 5 inquire
103495 Applied Materials DPS2 AE MESA (Dry Etch) 300 mm 31.05.2019 1 as is where is
108359 Applied Materials Oasis Clean Batch Wafer Processing 300 mm 3 as is where is
110919 Applied Materials Mirra Mesa (Spare parts) RORZE RD-023MS motor driver - CMP FABS Spares 5 inquire
91208 Applied Materials Producer GT Chamber (A) SICONI Chamber only 300 mm 1 as is where is
103496 Applied Materials DPS2 AE MESA (Dry Etch) 300 mm 31.05.2019 1 as is where is
108360 Applied Materials Producer Etch eXT Dielectric Dielectric Etch 300 mm 3 as is where is
110920 Applied Materials Mirra Mesa (Spare parts) RC233 Generate master - CMP FABS Spares 5 inquire
91209 Applied Materials Producer GT Chamber (B) SICONI Chamber only 300 mm 1 as is where is
110921 Applied Materials Mirra Mesa (Spare parts) RC204A I/O master - CMP FABS Spares 5 inquire
110922 Applied Materials Mirra Mesa (Spare parts) RD-026MSA Step driver - CMP FABS Spares 5 inquire
103499 Applied Materials ENDURA 2 CH-1_TTN/CH-2_AL/CH-3_TTN/ CH-C&D_PCXT/CH-E&F_Degas 300 mm 31.05.2017 1 as is where is
110923 Applied Materials Mirra Mesa (Spare parts) SANYO DENKI, Stepping Motor, Substitute loadport lifter motor - CMP FABS Spares 5 inquire
103500 Applied Materials ENDURA 2 CH Preclean XT Chamber 300 mm 31.05.2001 1 as is where is
110924 Applied Materials 0100-13016 Assembly, PCB, Controller I/O Backplane PCB for MIRRA Controller - CMP controller Spares 5 inquire
103501 Applied Materials ENDURA 2 CH Preclean XT Chamber 300 mm 31.05.2008 1 as is where is
109133 Applied Materials AERA 4 Reticle Inspection RETICLE 1 as is where is
110925 Applied Materials ModelUDK5128NA Head Sweep Driver - CMP controller Spares 5 inquire
103502 Applied Materials ENDURA 2 CH Preclean XT Chamber 300 mm 1 as is where is
109134 Applied Materials Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition) 200mm 1 as is where is
110926 Applied Materials 1110-01058 Port Server -Digi EL16 with PS - CMP controller Spares 5 inquire
103503 Applied Materials ENDURA 2 CHAMBER ONLY Remote Plasma Clean Chamber 300 mm 31.05.2004 1 as is where is
106575 Applied Materials Centura AP Ultima Chamber HDPCVD Chamber only 300 mm 1 as is where is
109135 Applied Materials Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition) 200mm 1 as is where is
110927 Applied Materials 0660-01820 Applied Material MEI Board - CMP controller Spares 5 inquire
103504 Applied Materials ENDURA 2 CHAMBER ONLY Remote Plasma Clean Chamber 300 mm 31.05.2006 1 as is where is
106576 Applied Materials CENTURA DPS G3 Poly 2ch / Mesa 1ch 300 mm 01.06.2001 1 as is where is
109136 Applied Materials Centura AP AdvantEdge G5 Mesa Poly Polysilicon Etch 300mm 1 as is where is
110928 Applied Materials 0100-09054 Applied Material AIO Board - CMP controller Spares 5 inquire
103505 Applied Materials ENDURA 2 CHAMBER ONLY Remote Plasma Clean Chamber 300 mm 31.05.2010 1 as is where is
106065 Applied Materials Mirra ® 3400 Stand-Alone CMP System 200 mm 01.06.2001 1 as is all rebuilt immediately
106577 Applied Materials CENTURA DPS G3 Poly 3ch 300 mm 01.06.2006 1 as is where is
109137 Applied Materials Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch 300mm 1 as is where is
110929 Applied Materials 0100-20003 DIO board - CMP controller Spares 5 inquire
103506 Applied Materials ENDURA CL PVD 300 mm 31.05.2010 1 as is where is
106578 Applied Materials CENTURA DPS G3 Poly 3ch 300 mm 01.06.2006 1 as is where is
109138 Applied Materials Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch 300mm 1 as is where is
110930 Applied Materials 0100-77035 Robot X Track board - CMP controller Spares 5 inquire
106579 Applied Materials CENTURA DPS G3 Poly 3ch / Axiom 1ch 300 mm 01.06.2013 1 as is where is
109139 Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch 300mm 1 as is where is
110931 Applied Materials 0190-14372 Pad Cond Driver SGDA-01AS - CMP controller Spares 5 inquire
103508 Applied Materials PRODUCER GT Ht ACL 3ch / Server OS Type 300 mm 31.05.2014 1 as is where is
106580 Applied Materials CENTURA DPS G3 Poly 3ch / Axiom 1ch 300 mm 01.06.2010 1 as is where is
109140 Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch 300mm 1 as is where is
110932 Applied Materials SGDB-44ADG Platen Driver - CMP controller Spares 5 inquire
103509 Applied Materials PRODUCER GT BD2_CU 300 mm 31.05.2002 1 as is where is
106581 Applied Materials CENTURA DPS G5 MESA Poly 3ch / AXIOM 1ch / Server OS Type 300 mm 01.06.2011 1 as is where is
109141 Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch 300mm 1 as is where is
110933 Applied Materials SGDA-04AS Cross driver - CMP controller Spares 5 inquire
103510 Applied Materials PRODUCER SE CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type 300 mm 31.05.2003 1 as is where is
106582 Applied Materials CENTURA MCVD WxZ Optima 200 mm 01.06.2001 1 as is where is
109142 Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch 300mm 1 as is where is
110934 Applied Materials SGDA-02AS Robot x driver - CMP controller Spares 5 inquire
103511 Applied Materials PRODUCER SE Ht_SiN 2ch / Server OS Type 300 mm 1 as is where is
106583 Applied Materials CENTURA 5200 DPS Poly Etcher 200 mm 01.06.1999 1 as is where is
109143 Applied Materials Centura AP AdvantEdge G5 Poly Polysilicon Etch 300mm 1 as is where is
110935 Applied Materials 0870-01080 Vexta Wet Robot Driver - CMP controller Spares 5 inquire
103512 Applied Materials RAIDER ECD Copper Electroplating System 300 mm 31.05.2005 1 as is where is
106584 Applied Materials ENDURA 2 (Gray Rack) 3CH (WxZ) 300 mm 01.06.2007 1 as is where is
109144 Applied Materials Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm 1 as is where is
110936 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 10 Amp - CMP controller Spares 5 inquire
103513 Applied Materials RAIDER ECD Copper Electroplating System 300 mm 01.05.2006 1 as is where is
106585 Applied Materials ENDURA 2 Chamber Only ALPS 300 mm 1 as is where is
109145 Applied Materials Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm 1 as is where is
110937 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 1 Amp - CMP controller Spares 5 inquire
106586 Applied Materials ENDURA 2 Chamber Only MOALD (IMP TiN) 300 mm 1 as is where is
109146 Applied Materials Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch 300mm 1 as is where is
110938 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 4 Amp - CMP controller Spares 5 inquire
103515 Applied Materials Vantage RTP cluster tool with Server OS Type 300 mm 01.12.2014 1 as is where is immediately
106587 Applied Materials ENDURA 2 CHAMBER ONLY PCXT 300 mm 01.06.2019 1 as is where is
109147 Applied Materials Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch 300mm 1 as is where is
110939 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 2 Amp - CMP controller Spares 5 inquire
106588 Applied Materials ENDURA 2 Chamber Only PCXT 300 mm 01.06.2019 1 as is where is
109148 Applied Materials Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch 300mm 1 as is where is
110684 Applied Materials Sting Dark Field Defect Inspection 300 mm 01.06.2009 1 as is where is immediately
110940 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 5 Amp - CMP controller Spares 5 inquire
106589 Applied Materials ENDURA 2 Chamber Only PCXT 300 mm 01.06.2018 1 as is where is
109149 Applied Materials ComPLUS MP Darkfield Inspection 200mm 1 as is where is
110941 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 7 Amp - CMP controller Spares 5 inquire
106590 Applied Materials ENDURA 2 Chamber Only PCXT 300 mm 01.06.2018 1 as is where is
109150 Applied Materials ComPLUS MP Darkfield Inspection 200mm 1 as is where is
110942 Applied Materials 0190-03358 AMAT Power supply SP664 - CMP controller Spares 5 inquire
106591 Applied Materials ENDURA 2 Chamber Only PCXT 300 mm 01.06.2019 1 as is where is
109151 Applied Materials Endura II Chambers: Multiple PVD (Physical Vapor Deposition) 300mm 1 as is where is
110687 Applied Materials Aera 2 Photomask Inspection System 300 mm 01.06.2009 1 as is where is immediately
110943 Applied Materials 0110-77037 Controller Interlock Board 1 - CMP controller Spares 5 inquire
106592 Applied Materials ENDURA 2 CHAMBER ONLY RPC 300 mm 01.06.2007 1 as is where is
109152 Applied Materials Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition) 300mm 1 as is where is
110944 Applied Materials 0110-77038 Controller Interlock Board 2 - CMP controller Spares 5 inquire
106593 Applied Materials ENDURA 2 CHAMBER ONLY SIP Ti 300 mm 1 as is where is
109153 Applied Materials Reflexion - Dielectric Dielectric CMP 300mm 1 as is where is
110945 Applied Materials Mirra Mesa (Spare parts) CPU 3 - CMP controller Spares 5 inquire
106594 Applied Materials ENDURA 2 CHAMBER ONLY SIP Ti 300 mm 1 as is where is
109154 Applied Materials Reflexion LK Oxide Dielectric CMP 300mm 1 as is where is
110946 Applied Materials Mirra Mesa (Spare parts) SEI Board - CMP controller Spares 5 inquire
106595 Applied Materials ENDURA 2 Chamber Only SIP Ti 300 mm 1 as is where is
110947 Applied Materials Mirra Mesa (Spare parts) SST dnet board - CMP controller Spares 5 inquire
106596 Applied Materials ENDURA 2 Chamber Only SIP Ti 300 mm 1 as is where is
110948 Applied Materials Mirra Mesa (Spare parts) interface board - CMP controller Spares 5 inquire
106597 Applied Materials ENDURA 2 Chamber Only SIP Ti 300 mm 1 as is where is
108133 Applied Materials CENTURA MCVD WxZ Optima 200 mm 01.06.2000 1 as is where is
110949 Applied Materials Mirra Mesa (Spare parts) wet robot power supply - CMP controller Spares 5 inquire
106598 Applied Materials ENDURA 2 Chamber Only SIP Ti 300 mm 1 as is where is
108134 Applied Materials ENDURA 2 CHAMBER Only EnCoRe1(Cu) Chamber only 300 mm 1 as is where is
110950 Applied Materials Mirra Mesa (Spare parts) light tower pcb - CMP controller Spares 5 inquire
106599 Applied Materials ENDURA 2 CHAMBER ONLY TXZ ALD chamber 300 mm 1 as is where is immediately
108135 Applied Materials ENDURA 2 CHAMBER Only EnCoRe1(Cu) Chamber only 300 mm 1 as is where is
110951 Applied Materials Mirra Mesa (Spare parts) SSD Harddisk - CMP controller Spares 5 inquire
93032 Applied Materials CENTRIS DPS MESA Dry Etch, Twin 3chamber 300 MM 01.05.2010 1 as is where is immediately
106600 Applied Materials ENDURA CL Chamber Only CVD AL(AXZ) 300 mm 01.06.2002 1 as is where is
108136 Applied Materials ENDURA 2 CHAMBER Only EnCoRe1(Ta) Chamber only 300 mm 1 as is where is
106601 Applied Materials ENDURA CL Chamber Only CVD AL(AXZ) 300 mm 01.06.2002 1 as is where is
108137 Applied Materials ENDURA 2 CHAMBER Only EnCoRe1(Ta) Chamber only 300 mm 1 as is where is
93034 Applied Materials CENTURA ENABLER E2 Oxide Etcher /server OS PC 300 MM 31.05.2007 1 as is where is
106602 Applied Materials ENDURA CL Chamber Only Degas Chamber only 300 mm 1 as is where is
108138 Applied Materials ENDURA 2 CHAMBER Only PCXT Chamber only 300 mm 01.06.2019 1 as is where is
93035 Applied Materials CENTURA ENABLER E5 Oxide Etcher /server OS PC 300 MM 31.05.2010 1 as is where is
106603 Applied Materials ENDURA CL Chamber Only Degas Chamber only 300 mm 1 as is where is
108139 Applied Materials ENDURA CL Not include XP Robot 300 mm 01.06.2002 1 as is where is
93036 Applied Materials P5000 Etch no chamber (PLIS type) 200 mm 1 as is where is
106604 Applied Materials ENDURA CL Chamber Only Degas Chamber only 300 mm 1 as is where is
108140 Applied Materials ENDURA CL 300 mm 1 as is where is
106605 Applied Materials ENDURA CL Chamber Only Degas Chamber only 300 mm 1 as is where is
108141 Applied Materials ENDURA CL Chamber Only ESIP TAN (No Target) Chamber only 300 mm 1 as is where is
110701 Applied Materials P5000 Poly Etcher 150 mm 01.06.1995 2 as is where is immediately
106606 Applied Materials ENDURA CL Chamber Only Degas Chamber only 300 mm 1 as is where is
108142 Applied Materials Olympia ALD 300 mm 1 as is where is
106607 Applied Materials ENDURA CL Chamber Only PRECLEAN 300 mm 1 as is where is
108143 Applied Materials P5000 Delta Dlh 3chCVD 150 mm 1 as is where is
106608 Applied Materials ENDURA CL Chamber Only PRECLEAN 300 mm 1 as is where is
108144 Applied Materials P5000 Mark II Metal ETCH 150 mm 1 as is where is
106609 Applied Materials ENDURA CL Chamber Only PRECLEAN 300 mm 1 as is where is
108145 Applied Materials P5000 Teos dlh 3ch CVD 150 mm 1 as is where is
106610 Applied Materials ENDURA CL Chamber Only RPC 300 mm 01.06.2002 1 as is where is
108146 Applied Materials Producer GT Chamber SICONI Chamber only 300 mm 01.06.2017 1 as is where is
106611 Applied Materials ENDURA CL Chamber Only RPC 300 mm 01.06.2002 1 as is where is
108147 Applied Materials PRODUCER SE Ht_SiN 2ch / Server OS Type 300 mm 01.06.2006 1 as is where is
70004 Applied Materials Baccini Misc parts For Baccini Solar Line - see attached list Spares 1 as is where is immediately
108148 Applied Materials Producer SE Chamber LLTO Chamber only 300 mm 01.06.2014 1 as is where is
106613 Applied Materials ENDURA2 CHAMBER ONLY PVD CHAMBER 300 mm 1 as is where is
108149 Applied Materials PRODUCER SE CHAMBER PECVD Silane Chamber Only 300 mm 1 as is where is
106614 Applied Materials ENDURA2 VOLTA CO CHAMBER QTY 2 SETS 300 mm 1 as is where is
108150 Applied Materials PRODUCER SE CHAMBER PECVD TEOS Chamber Only 300 mm 1 as is where is immediately
106615 Applied Materials G5-MESA DRY ETCH EFEM 300 MM 1 as is where is
106616 Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER 300 mm 1 as is where is
110712 Applied Materials Centura 5200 HTF Epitaxial 3 Chamber Atmospheric Epitaxial Deposition system 200 MM 01.06.1996 1 as is where is immediately
106617 Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER 300 mm 1 as is where is
110713 Applied Materials Centura 5200 HTF Epitaxial 3 Chamber Atmospheric Epitaxial Deposition system 200 MM 01.06.1999 1 as is where is immediately
106618 Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER 300 mm 1 as is where is
110714 Applied Materials P5000 3 Chamber Dry Etch 150 MM 01.06.1995 1 as is where is immediately
93051 Applied Materials P5000 WXL 150 mm 31.05.1994 1 as is where is
106619 Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER 300 mm 1 as is where is
110715 Applied Materials P5000 4 Chamber SiN CVD 150 MM 01.06.1993 1 as is where is immediately
106620 Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER 300 mm 1 as is where is
110716 Applied Materials P5000 Mark 2 2 Chambers TEOS PECVD and 2 Chambers Dry Etch 150 MM 01.06.2000 1 as is where is immediately
93053 Applied Materials PRODUCER GT LLTO 300 mm 31.05.2014 1 as is where is
106621 Applied Materials P5000 Delta Teos 3ch, Sputter 1ch 150 mm 01.06.1996 1 as is where is
110717 Applied Materials P5000 3 Chambers PECVD TEOS 150 MM 01.06.1996 1 as is where is immediately
106622 Applied Materials P5000 Delta Teos 3ch, Sputter 1ch 200 mm 01.06.1998 1 as is where is
106623 Applied Materials P5000 DxL 2ch 200 mm 01.06.1996 1 as is where is
106624 Applied Materials PRODUCER GT ACL 1ch / Server X 300 mm 1 as is where is
106625 Applied Materials PRODUCER GT CH_A_FOX / CH_B_eHARP / Server OS Type 300 mm 01.06.2009 1 as is where is
106626 Applied Materials PRODUCER GT Ht_Acl 3ch / Server OS Type 300 mm 01.06.2006 1 as is where is
106627 Applied Materials PRODUCER GT Siconi 3ch 300 mm 01.06.2009 1 as is where is
108931 Applied Materials SEMVISION G5 MAX Defect Review SEM 300 mm 01.06.2011 1 as is where is immediately
106628 Applied Materials Producer GT Chamber HARP 300 mm 1 as is where is
91269 Applied Materials CENTURA 5200 MxP Poly 200 mm 1 as is where is
106629 Applied Materials Producer GT Chamber HARP 300 mm 1 as is where is
106630 Applied Materials Producer GT Chamber SICONI Chamber only 300 mm 01.06.2017 1 as is where is
36487 APPLIED MATERIALS P-5000 DxL DxL, TEOS 150mm 1 inquire
106631 Applied Materials Producer GT Chamber SIH4 300 mm 1 as is where is
101768 Applied Materials 0010-00557 REV A Heat Exchanger FACILITIES 31.08.1988 1 as is where is immediately
106632 Applied Materials Producer GT Chamber only SICONI Chamber only 300 mm 01.06.2017 1 as is where is
106633 Applied Materials PRODUCER SE CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type 300 mm 01.06.2004 1 as is where is immediately
106634 Applied Materials PRODUCER SE Ht_SiN 2ch / Server OS Type 300 mm 01.06.2006 1 as is where is
106635 Applied Materials PRODUCER SE SILANE 2ch / Server OS Type 300 mm 01.06.2011 1 as is where is
106636 Applied Materials PRODUCER SE Teos 2ch / Server OS Type 300 mm 01.06.2006 1 as is where is
91277 Applied Materials Centura DPS II CHAMBER Chamber only 300 mm 1 as is where is
106637 Applied Materials ENDURA 2 Chamber Only (IMP Ti) 300 mm 1 as is where is
106638 Applied Materials ENDURA 2 Chamber Only EXTENSA Ti 300 mm 01.06.2008 1 as is where is
91279 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 31.05.2008 1 as is where is
91280 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 31.05.2008 1 as is where is
91281 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 31.05.2008 1 as is where is
91282 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 31.05.2008 1 as is where is
91283 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 31.05.2008 1 as is where is
91284 Applied Materials Etch dry chamber Only AXIOM, DPS 300 mm 1 as is where is
91285 Applied Materials Etch dry chamber Only AXIOM, DPS 300 mm 1 as is where is
91286 Applied Materials P5000 DELTA DLH 150 mm 1 as is where is
91287 Applied Materials P5000 DELTA DLH 150 mm 31.05.1993 1 as is where is
18840 APPLIED MATERIALS 0230-00101 Precision etch 8300 1 as is where is
18841 APPLIED MATERIALS 0230-09130 Precision 5000 Mark II spare part identified 1 as is where is
105881 Applied Materials Reflexion GT CMP system with integrated cleaner 300 MM 01.05.2011 1 as is where is immediately
18842 APPLIED MATERIALS 0230-09259 Precision 5000 Mark II Mainframe and support equipment manual 1 as is where is
18843 APPLIED MATERIALS 079-1202-0D PR 5000 Advanced prev. And corrective main 1 as is where is
108699 Applied Materials Oasis HF Wafer cleaning system 300 MM 01.06.2006 1 as is where is immediately
4252 Applied Materials 0230-09130 P5000 SPARE PARTS IDENTIFIER Spares 01.01.1992 1 as is where is
18844 APPLIED MATERIALS 026-110-0B1 PR 5000 Func desc. Practice exercici and basic prev. maintenance procedures 1 as is where is
108701 Applied Materials Centura 5200 High K CVD Process Chamber CVD Process Chamber 200 mm 1 as is where is immediately
108705 Applied Materials Centura 5200 MxP Chamber MxP Etching Chamber 200 mm 1 inquire immediately
110767 Applied Materials Mirra 3400 Ontrak CMP Polisher with Cleaning System 200 mm 01.05.1997 1 as is where is immediately
91316 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 1 as is where is
93108 Applied Materials PRODUCER GT UV Bake Photostabilizer 300 mm 31.05.2017 1 as is where is
91317 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 1 as is where is
93109 Applied Materials PRODUCER GT UV Bake Photostabilizer 300 mm 31.05.2017 1 as is where is
106944 Applied Materials Endura 5500 (spare parts) Endura 6" process kit for Degas Chamber, NEW, in AMAT box , including 0020-28140 and other parts 150 mm 01.11.2001 1 as is where is immediately
111054 Applied Materials 0040-55456 BELLJAR, 21 OD 300MM PC XT/XTE - PC-XT Spares 5 inquire
111055 Applied Materials 0200-01903 INSULATOR PEDESTAL QUARTZ 300MM PCII - PC-XT Spares 5 inquire
111056 Applied Materials 0020-19258 PEDESTAL TITANIUM 300MM PCII - PC-XT Spares 5 inquire
111057 Applied Materials 0021-19342 SHIELD INNER 300MM PCII/RPC+ - PC-XT Spares 5 inquire
91602 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
110802 Applied Materials Endura IMP Ti / TiN Physical Vapor Deposition System 200 mm 01.06.2000 1 as is where is immediately
111058 Applied Materials 0040-86514 SHIELD, LOWER 300MM PCXT/RPC+ - PC-XT Spares 5 inquire
91603 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
111059 Applied Materials 0040-07291 DEPOSITION RING ADV 101 300MM - DSTTN Spares 5 inquire
91604 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
111060 Applied Materials 0021-26609 SHUTTER DISK, A101, TTN, CENTER PIN, BLUE, 300MM - DSTTN Spares 5 inquire
91605 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
111061 Applied Materials 0021-22064 COVER RING, TTN, 300MM PVD - DSTTN Spares 5 inquire
91606 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
111062 Applied Materials 0021-22065 SHIELD, LOWER HI-COND TTN, 300MM PVD - DSTTN Spares 5 inquire
91607 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
110807 Applied Materials 0240-31390 HCLU Load Cup Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111063 Applied Materials 0021-21234 SHIELD, UPPER HI-COND TIN LONG, 300MM PVD - DSTTN Spares 5 inquire
91608 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
98264 Applied Materials VANTAGE (Rediance 3.x) RTP 300 mm 31.05.2003 1 as is where is
110808 Applied Materials 0010-77153 Wet Robot Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111064 Applied Materials 0021-26565 SHUTTER DISK - Aluminum Spares 5 inquire
110809 Applied Materials 1080-01170 Platen Motor Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111065 Applied Materials 0021-45259 SHIELD, 1-PIECE, BEADBLAST, SST, STD PVD - Aluminum Spares 5 inquire
110810 Applied Materials 0190-14344 NSK Motor/driver Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111066 Applied Materials 0021-84173 COVER RING - Aluminum Spares 5 inquire
106203 Applied Materials Mirra 3400 Stand-Alone Oxide/STI CMP 200 mm 01.06.1998 1 inquire
110811 Applied Materials Mirra Mesa (Spare Parts) Cable from polisher to controller - CMP Polisher and Lower Mirra Spares 5 inquire
111067 Applied Materials 0200-07492 DEPOSITION RING - Aluminum Spares 5 inquire
110812 Applied Materials Mirra Mesa (Spare Parts) Head Spindle - CMP Polisher and Lower Mirra Spares 5 inquire
110813 Applied Materials 0040-77041 Mirra teflon Coated upper polish platen - CMP Polisher and Lower Mirra Spares 5 inquire
108254 Applied Materials P5000 Mark 2 CVD system with 4 x DXZ chambers, Silane process 200 mm 01.06.2001 1 as is where is immediately
109534 Applied Materials 990-004763-015 Pad Con Analog Pneumatic Unit Spares 1 as is where is immediately
110814 Applied Materials 3080-01119 Platen Motor Belt - CMP Polisher and Lower Mirra Spares 5 inquire
106207 Applied Materials 0240-20611 REV E KIT SLIT VALVE PER CHAMBER REV E Spares 01.06.1999 1 as is where is immediately
109535 Applied Materials 990-004763-015 (Upgrade) Upgrade for Pad Con Analog Pneumatic Assembly Spares 1 as is where is immediately
110815 Applied Materials 0190-17083 Platen Polish Bearing - CMP Polisher and Lower Mirra Spares 5 inquire
91616 Applied Materials ENDURA CL Chamber Only AL 300 mm 1 as is where is
106208 Applied Materials 0040-13659 REV P1 BLOCK, MTG Spares 01.06.1999 1 as is where is immediately
110816 Applied Materials 3970-00030 Platen Gear Box/ Head - CMP Polisher and Lower Mirra Spares 5 inquire
91617 Applied Materials ENDURA CL Chamber Only AL 300 mm 1 as is where is
106209 Applied Materials 0020-21089 SHIELD Spares 01.06.1999 1 as is where is immediately
110817 Applied Materials 0190-77114 Yaskawa Cross Servo Motor Model: SGM-04UWB4L - CMP Polisher and Lower Mirra Spares 5 inquire
91618 Applied Materials ENDURA CL Chamber Only Chamber 1 (Ver. 001) CPI-VMO 300 mm 1 as is where is
106210 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1998 1 as is where is immediately
110818 Applied Materials 0010-12344 Pad cond Assembly - CMP Polisher and Lower Mirra Spares 5 inquire
106211 Applied Materials 0050-20072 REV B GAS LINE CHAMBER 3 HEATER MFC 1 VCR Spares 01.06.1999 1 as is where is immediately
110819 Applied Materials 0190-77016 Pad cond sweep motor (Yaskawa SGM-01U3B4L) - CMP Polisher and Lower Mirra Spares 5 inquire
91620 Applied Materials ENDURA CL Chamber Only SOURCE D (Ver. 003) CPI-VMO 300 mm 1 as is where is
106212 Applied Materials 0050-76652 REV A GAS LINE CHAMBER 2 PROCESS MFC 19 MIXED Spares 01.06.1999 1 as is where is immediately
106980 Applied Materials Reflexion CMP system 300 mm 1 inquire immediately
110820 Applied Materials 0190-77015 Pad cond rotation motor (Yaskawa SGM-01U3B4CL) - CMP Polisher and Lower Mirra Spares 5 inquire
106213 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1999 2 as is where is immediately
106981 Applied Materials Reflexion LK CMP system 300 mm 1 inquire immediately
109541 Applied Materials Centura AP DPS 2 G5 Polysilicon etcher, 3 chamber 300 mm 01.08.2008 1 as is where is immediately
110821 Applied Materials 0010-77721 Wet Robot Blade - CMP Polisher and Lower Mirra Spares 5 inquire
106214 Applied Materials 0090-20042 REV D ASSY 3 WAY VALVE Spares 01.06.1999 5 as is where is immediately
106982 Applied Materials Mesa CMP cleaning system 300 mm 1 inquire immediately
109542 Applied Materials Centura DPS II Advantedge POLY POLY ETCHER, 4 CHAMBER 300 mm 01.06.2010 1 as is where is immediately
110822 Applied Materials 0020-78177 Wet Robot blade gasket - CMP Polisher and Lower Mirra Spares 5 inquire
91623 Applied Materials ENDURA CL Chamber Only Chamber D (Ver. 001) CPI-VMO(eSIP TAN) 300 mm 1 as is where is
94439 Applied Materials Centura WCVD WxZ Optima 200 mm 1 as is where is
106215 Applied Materials 0020-20483 REV E HUB LAMP CORNER COVER Spares 01.06.1998 2 as is where is immediately
106983 Applied Materials Desica CMP Cleaning system 300 mm 1 inquire immediately
109543 Applied Materials Centura AP DPS 2 Advantedge Mesa Polysilicon Etcher with 4 chambers 300 mm 01.08.2006 1 as is where is immediately
110823 Applied Materials 0190-16236 Wet robot -Wrist Motor - CMP Polisher and Lower Mirra Spares 5 inquire
106216 Applied Materials 0140-20502 REV D HARN ASSY AC 2-PHASE DRIVER Spares 01.06.1999 1 as is where is immediately
106984 Applied Materials Kawasaki 4.0 Fab Interface Module 300 mm 1 inquire immediately
109544 Applied Materials Centura 5200 AP DPS2 Advantedge Carina Mesa Dry Etch Cluster Tool - 2 CHAMBER - METAL ETCH PROCESS 300 mm 01.05.2013 1 as is where is immediately
110824 Applied Materials 0190-16235 Wet robot - Shoulder Motor - CMP Polisher and Lower Mirra Spares 5 inquire
106217 Applied Materials 0020-20523 COVER Spares 01.06.1999 1 as is where is immediately
109545 Applied Materials Centura AP DPS II Advantedge Polysilicon Etcher with 4 chambers 300 mm 01.06.2006 1 as is where is immediately
110825 Applied Materials 0190-17944 Wet robot -Z-Axis (Up and Down Motion) Motor Assembly - CMP Polisher and Lower Mirra Spares 5 inquire
106218 Applied Materials 0240-70416 REV C KIT BLOW OUT VALVE PER CHAMBER Spares 01.06.1999 1 as is where is immediately
110826 Applied Materials 0100-77069 ISRM Module - Full Scan (77069) - CMP Polisher and Lower Mirra Spares 5 inquire
106219 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1999 1 as is where is immediately
110827 Applied Materials 0100-00845 laser diode mdl 390a-670-5 - CMP Polisher and Lower Mirra Spares 5 inquire
94444 Applied Materials ENDURA CL Chamber only AxZ 300 mm 31.05.2017 1 as is where is
106220 Applied Materials 0190-20015 REV D VALVE / MANIFOLD Spares 01.06.1998 1 as is where is immediately
110828 Applied Materials 0100-77064 ISRM Module - Legacy (77064) - CMP Polisher and Lower Mirra Spares 5 inquire
106221 Applied Materials 3830-01018 REV LABEL LASERTAB MARKER WHT POLYEST LAM Spares 01.06.1999 2 as is where is immediately
109549 Applied Materials Centura DPS2 AE Minos Poly Dry Etch with 2CH DPS2 and 2 CH Axiom 300 mm 01.06.2006 1 as is where is immediately
110829 Applied Materials 0090-77110 ISRM Cable (to slip ring) - CMP Polisher and Lower Mirra Spares 5 inquire
106222 Applied Materials 3830-01034 REV LABEL LASERTAB MARKER WHT POLYEST LAM Spares 01.06.1999 1 as is where is immediately
110830 Applied Materials 0720-02672 Slip Ring (Conn 6cond Rotating feed through) - CMP Polisher and Lower Mirra Spares 5 inquire
106223 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1998 1 as is where is immediately
110831 Applied Materials 990-004763-015 Pad Cond Analog Board - CMP Polisher and Lower Mirra Spares 5 inquire
106224 Applied Materials 3870-01281 REV VALVE BLANK PLATE ASSY FOR SMC P/N NVJ11 Spares 01.06.1999 3 as is where is immediately
110832 Applied Materials 0100-77017 Lower Pneumatic Board - CMP Polisher and Lower Mirra Spares 5 inquire
106225 Applied Materials 3870-01284 VALVE BLANK PLATE ASSY FOR SMC P/N NVJ3023 Spares 01.06.1999 1 as is where is immediately
110833 Applied Materials 990-004765-107 Digital Pneutronics Board (Normally Open) - CMP Polisher and Lower Mirra Spares 5 inquire
106226 Applied Materials 0090-20042 REV D ASSY 3 WAY VALVE Spares 01.06.1999 1 as is where is immediately
110834 Applied Materials 990-004765-104 Digital Pneutronics Board (Normally Close) - CMP Polisher and Lower Mirra Spares 5 inquire
106227 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1999 1 as is where is immediately
110835 Applied Materials MFC-8005-T2105-072-N-001 Chemical Malema Pump - CMP Polisher and Lower Mirra Spares 5 inquire
106228 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1998 1 as is where is immediately
110836 Applied Materials MFC-8000-T2104-052-P-001 Slurry Malema Pump - CMP Polisher and Lower Mirra Spares 5 inquire
110837 Applied Materials UPM2-646NC Furon Valve UPM2-646NC - CMP Polisher and Lower Mirra Spares 5 inquire
110838 Applied Materials UPM3-644 Furon Valve UPM3-644 - CMP Polisher and Lower Mirra Spares 5 inquire
108023 Applied Materials P5000 CVD 200 mm 01.11.1990 1 as is where is
110839 Applied Materials UPM2-644NC Furon Valve UPM2-644NC - CMP Polisher and Lower Mirra Spares 5 inquire
110841 Applied Materials 0140-08725 P2 cable Harness, E-chain AIO head, 200mm - CMP HEAD Spares 5 inquire
110842 Applied Materials 0140-77637 P1 cable C/A Digital I/O head pneumatic - CMP HEAD Spares 5 inquire
111354 Applied Materials Centura 5200 XE+ RTP system with TPCC 200 mm 1 as is where is immediately
71931 Applied Materials 0050-76664 REV A GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE spares 01.06.1999 1 as is where is immediately
110843 Applied Materials 0140-77632 Head Sweep Cable - CMP HEAD Spares 5 inquire
111355 Applied Materials Centura 5200 XE+ RTP system with TPCC 200 mm 01.06.2003 1 as is where is immediately
71932 Applied Materials 0020-20919 REV C COVER CVD POST spares 31.05.1999 1 as is where is immediately
110844 Applied Materials 0190-77482 Head Sweep Motor assy - CMP HEAD Spares 5 inquire
71933 Applied Materials 0150-21344 CHAMBER D INTERCONNECT EMC COMPLIANT spares 31.05.1999 1 as is where is immediately
110845 Applied Materials 0760-01034 3-port, 4-port Rotary Union (0760-01034) - CMP HEAD Spares 5 inquire
110846 Applied Materials 3870-02674 Burkert Valve - CMP HEAD Spares 5 inquire
110847 Applied Materials IT1001-N31-X6 SMC UPA Regulator (X6) - CMP HEAD Spares 5 inquire
110617 Applied Materials ® 0190-33289 RTP CHAMBER LAMP spares 183 as is where is immediately
105851 Applied Materials ® 079-018-05 P5000 Mk II Functional description Practice exercises Spares 30.04.1995 1 as is where is immediately
105852 Applied Materials ® 0230-09258B P5000 Mk II Mainframe and Support Equipment Manual Spares 30.06.1994 1 as is where is immediately
105853 Applied Materials ® 0230-00103 Precision Etch 8300 Corrective Maintenance Rev 3 Spares 30.04.1990 2 as is where is immediately
105854 Applied Materials ® 0230-20005 Endura Operations and Programming Training Course Student Workbook Spares 31.08.1993 1 as is where is immediately
105858 Applied Materials ® 0021-35163 Rev A Gold-plated RTP Reflector Plate, 200 MM, chamber bottom 200 mm 31.05.1997 1 as is where is immediately
6536 Applied Materials ® 0230-09258 B P5000 Mk II Mainfame support and equipment manual cleanroom July 1994 Spares 01.07.1994 1 as is where is immediately
6537 Applied Materials ® 026-105-03 C P5000 Mk II Functional description training manual Spares 01.04.1995 1 as is where is immediately
6538 Applied Materials ® 079-109-0D P5000 Mk II Advanced calibration proceedures manual Jan 1995 Spares 01.01.1995 1 as is where is immediately
6539 Applied Materials ® 079-102-0D P5000 Mk II Advanced preventive and corrective maintenance Apr 1996 Spares 01.04.1996 1 as is where is immediately
6540 Applied Materials ® 026-110-0B.1 P5000 Mk II Functional description , practice exercises and basic maintenance proceedures Spares 01.03.1996 1 as is where is immediately
83894 ASAHI TOOLS K-2 PRECISION WRENCH PARTS 1 as is where is immediately
32217 ASAHI TOOLS K-2 PRECISION WRENCH PARTS 1 as is where is immediately
77168 Asco SC8210G004 Solenoid Valve, 1 In, Orifice 1 In, Brass Spares 1 as is where is immediately
83571 Asco SC8210G93 Solenoid Valve, Spares 1 as is where is immediately
109363 ASE AMV-1000-27M-ASMGK-L RF GENERATOR Spares 6 as is where is
109364 ASE AMV-1000-27M-ASMGK-R RF GENERATOR Spares 6 as is where is
109365 ASE AMV-1000-SMT RF GENERATOR Spares 1 as is where is
109104 ASM AS899 (Spare Parts) Stock of Spare Parts for ASM Die Sorters / Pick and place Die Bonders Spares 01.06.2009 51 as is where is immediately
109117 ASM XP8 Dual Chamber PECVD system for Oxide and Nitride 300 mm 01.06.2010 2 as is where is immediately
108378 ASM A600 LPCVD-OXIDE Vertical Furnace 300 mm 1 as is where is
108381 ASM Eagle XP EmerALD ALD (Atomic Layer Deposition) 300 mm 1 as is where is
108384 ASM Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 300 mm 3 as is where is
106862 ASM A412 Dual Tube CVD Furnace, Tube 1 Nitride , Tube 2 As + Ge doped polyslicon 200 mm 01.01.2019 1 as is where is immediately
110718 ASM A400 Vertical furnace,Poly process 200 MM 01.06.2002 1 as is where is immediately
106884 ASM AS899 (Spare Parts) Spare Parts for Pick and Place Die Bonder Spares 01.06.2009 51 as is where is immediately
98443 ASM MS896 LED sorter 100mm 1 as is where is
98444 ASM WS896 LED sorter 100mm 1 as is where is
81827 ASM 2890224-21 Converter DTC Spares 1 as is where is immediately
81828 ASM 2506556-21 Processor DTC PCB Spares 01.03.2010 1 as is where is immediately
108713 ASM A400 HT Vertical Furnace Oxide/Poly 200 mm 01.06.2003 1 inquire immediately
108255 ASM A400 Vertical furnace, wet oxide process 200 mm 01.06.2001 1 as is where is immediately
108256 ASM A400 Vertical furnace, wet oxide process 200 mm 01.06.2001 1 as is where is immediately
109030 ASM AD810 Automatic Die Attach Tool Assembly 01.09.2017 1 as is where is immediately
108264 ASM Siplace CA4 High volume automatic flip-chip bonder 200 mm 01.06.2014 1 as is where is
111352 ASM Eagle XP5 Plasma-enhanced ALD system with 2 chambers for SiO and SIN 300 mm 01.06.2010 1 immediately
109620 ASML PAS 5500/55A I-line Stepper 1 inquire
110674 ASML PAS 5500/80B i line stepper 100-200 MM 01.06.1993 1 as is where is immediately
110685 ASML Yieldstar S100 Overlay measurement System 300 mm 01.06.2008 1 as is where is immediately
108385 ASML TWINSCAN AT:850D 248nm (KrF) Scanner 300 mm 1 as is where is
108387 ASML YieldStar S-100B Overlay Measurement System 300 mm 1 as is where is
109158 ASML YieldStar S-200B Overlay Measurement System 300mm 1 as is where is
109159 ASML YieldStar S-250 Overlay Measurement System 300mm 1 as is where is
110703 ASML AT1100B Twinscan DUV Lithography exposure system 193 nm 200 mm and 300 mm 01.06.2001 1 as is where is immediately
108918 ASML XT1250D ArF Lithography Scanner 300 mm 01.05.2004 1 as is where is immediately
108151 ASML XT1400E 193 nm DUV SCANNER(ARF) 300 mm 01.06.2005 1 as is where is immediately
110797 ASML Twinscan XT400G i LINE SCANNER 300 mm 1 as is where is immediately
110798 ASML Twinscan XT400F i LINE SCANNER 300 mm 1 as is where is immediately
110799 ASML Twinscan XT400F i LINE SCANNER 300 mm 1 as is where is immediately
109280 ASML XT 1950Hi DUV Wafer scanner 300 mm 1 as is where is immediately
109286 ASML Twinscan AT850B 193nm DUV Scanner 300 mm 01.11.2002 1 as is where is immediately
109551 ASML TWINSCAN XT1250D 193nm (ArF) Scanner - Cymer laser not included 300 mm 01.05.2004 1 as is where is immediately
111343 ASML XT-1900-Gi Immersion Lithography System 300 mm 01.06.2009 1 as is where is immediately
109055 ASML Yieldstar S200B Wafer metrology system 300 mm 01.06.2011 1 as is where is immediately
82176 Astec VS3-C2-C2-C2 Power Supply 2000 watts (-450-CE) 1 as is where is immediately
82227 Astec VS3-C2-C2-02 Power Supply 2000 watts (-450-CE) 5.2V FOR CREDENCE DUO TESTER Spares 01.06.2011 2 as is where is immediately
83556 Astec VS3-C8-A8-02 (-451-CE) Power Supply 2000 watts FOR CREDENCE DUO TEST SYSTEM Spares 1 as is where is immediately
83557 Astec VS1-L3-02 (-435-CE) Power Supply 1500 watts 1 as is where is immediately
83558 Astec VS1-D8-02 (-436-CE) Power Supply 1500 watts SPARES 1 as is where is immediately
109942 Astec 9N24-32-372-FQ-3 Power Supply SPARES 1 inquire
80315 Astec VS3-D8-D8-02 Power Supply 2000 watts 2 as is where is immediately
81873 Astec VS1-L3-02 (-335-CE) Power Supply 1500 watts Spares 1 as is where is immediately
81875 Astec VS3-D4-B4-22 (-447-ce) Power Supply I/P 115-230 VAC/15A O/P 15V DC 120A 2000 W Spares 01.06.1999 4 as is where is immediately
81876 Astec VS1-L5-02 (-452-ce) Power Supply 1 as is where is immediately
53270 Astec Powertec 9K2-300-372 Super Switcher Power Supply Spares 31.05.1994 2 as is where is immediately
109366 ASTECH ATL-100RA RF GENERATOR Spares 4 as is where is
109367 ASTECH ATL-100RA RF GENERATOR Spares 1 as is where is
109368 ASTECH ATL-100RA-03 RF GENERATOR Spares 4 as is where is
109943 Astech ATL-100RA Match SPARES 1 inquire
109944 Astech ATL-100RA Match SPARES 1 inquire
109945 Astech ATL-100RA Match SPARES 1 inquire
109946 Astech ATL-100RA Match SPARES 1 inquire
109947 Astech ATL-100RA/DT2L Match SPARES 1 inquire
109369 ASTEX ARX-X491 RF GENERATOR Spares 6 as is where is
109948 Astex AX2107 Generator SPARES 1 inquire
108728 ASYMTEK Millenium 620 Glue Dispense Tool 1 as is where is
84765 Asyst 1150-V1315S SMIF Load port 150mm for Lam 4620 150 MM 1 as is where is immediately
106803 Asyst Versaport 2200 with shuttle and back plate SMIF loader 200mm 6 inquire
109122 Asyst IsoPort Wafer handler 300mm 4 as is where is immediately
102551 Asyst e-charger N2 charge 200mm 2 inquire
102552 Asyst Indexer 2200 SMIF loader 200mm 3 inquire
95404 Asyst 1150-V1315S SMIF Load port 150mm for Lam 4620 150 MM 1 as is where is immediately
91571 ASYST SPARTAN EFEM Wafer Sorter 300 mm 01.05.2010 1 as is where is immediately
101816 Asyst Isoport Wafer load port 300mm 20 inquire
101817 Asyst Versaport pod opener 2200 VPO SMIF loader 200mm 15 as is where is immediately
77184 Athena 16C-B-S-0-23-00 Temperature / Process controller 01.02.2012 1 as is where is immediately
108153 ATI OAK-1 Auto Scope Inspection 01.06.2013 1 as is where is
98447 August 3DI-8000 wafer bump inspection 300mm 1 as is where is immediately
98448 August NSX-95 2D Auto inspection system / Macro defect inspection system 200 mm 4 as is where is immediately
101818 August NSX105 Wafer Bumping Inspection System / Macro defect inspection 200mm 1 inquire
100347 Autec ATC-70B-RS Thermal Shock Tester 1 as is where is immediately
109067 Axcelis NV8250P Medium Current Implanter 200 mm 01.06.1995 1 as is where is immediately
109086 Axcelis NV-GSD-200E2 High Current Ion Implanter 150 mm 01.09.2004 1 as is where is immediately
103517 AXCELIS ES3 Plasma Asher 300 mm 1 as is where is
108901 Axcelis Rapid Cure320FC Radiator UV anneal System 300 mm 01.05.2007 1 as is where is immediately
108905 Axcelis RapidCure 320FC RPC 300 mm 01.12.2005 1 as is where is immediately
111223 AXCELIS 5000132 STDF 3/8LX3/8X6-32 RND, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111224 AXCELIS 17287690 BALL SEAL, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111225 AXCELIS 17031590 INSUL ES QUAD, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111226 AXCELIS 5001057 STDF 5/8LX3/8X6-32 CER 2601, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111227 AXCELIS 1708680 INSULATING BUSHING ARC CHAMBER, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111228 AXCELIS 17287680 FLANGE SEAL, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111229 AXCELIS 17170590 REPELLER INSULATOR ELS/IAS, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111230 AXCELIS 17147310 INSULATOR STANDOFF SOURCE, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
94591 Axcelis B 07 554 MOTOR GSD 180 TOP SPARES 1 as is where is
111231 AXCELIS 17287870 INSULATOR CATHODE PLT, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
94592 Axcelis PN 1027-0093-0035 Beam Shutter DI 14 SPARES 1 as is where is
94595 Axcelis PS WX 75 N12 0XX0 M 863742-02 GLASSMAN High Voltage DC Power Supply SPARES 1 as is where is
94600 Axcelis 1214110 EMS Power Supply 10 – 60 LAMBDA SPARES 1 as is where is immediately
94603 Axcelis Rock 4 x Kit Air Jet Replacement SPARES 1 as is where is
94604 Axcelis Rock 4 x Kit Air Jet Replacement SPARES 1 as is where is
106639 AXCELIS INTEGRA-RS DRY STRIP 300 mm 01.06.2012 1 as is where is
108700 Axcelis Fusion ES3 Asher 300 MM 01.02.2001 1 as is where is immediately
72111 Axcelis 17091650 SUPP RACK Spares 3 as is where is immediately
111283 AXCELIS 17181020 SCREWS SHIELDS, GPH, CG - AXCELIS HE3 Spares 5 inquire
111284 AXCELIS 17164520 ELECTRODE FILAMENT, GPH, CG - AXCELIS HE3 Spares 5 inquire
111285 AXCELIS 17287860 CATHODE MTG PLT, GPH, CG - AXCELIS HE3 Spares 5 inquire
111286 AXCELIS 17270230 SHIELD (2) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111287 AXCELIS 17270220 DIS 72743 FLD RPL ONLY SHIELD (1) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
72120 Axcelis 17S2467 DISK Spares 1 as is where is immediately
111288 AXCELIS 17118510 POLE SHORT ES QUAD, GPH, CG - AXCELIS HE3 Spares 5 inquire
108729 AXCELIS 110000800 Universal 300mm Disk Cart MAT # 4501422 2 as is where is
111289 AXCELIS 17270120 SHIELD BOLT HEAD FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111290 AXCELIS 17270110 BLOCK (5) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111291 AXCELIS 17165510 APERTURE FILAMENT, GPH, CG - AXCELIS HE3 Spares 5 inquire
111292 AXCELIS 170150707 SHLD (2) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111293 AXCELIS 17270070 BLOCK (1) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111294 AXCELIS 17270090 BLOCK (3) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111295 AXCELIS 17270240 APERTURE 1 AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111296 AXCELIS 17270080 BLOCK (2) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111297 AXCELIS 17270210 BLOCK (3) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111298 AXCELIS 17270100 BLOCK (4) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111299 AXCELIS 17270130 APERTURE (1) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111300 AXCELIS 17224320 APERTURE FARADAY RESOLVER, GPH, CG - AXCELIS HE3 Spares 5 inquire
111301 AXCELIS 17270190 BLOCK (1) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111302 AXCELIS 17371250 SLIT, GPH, CG - AXCELIS HE3 Spares 5 inquire
111303 AXCELIS 17270200 BLOCK (2) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111304 AXCELIS 17173230 APERTURE ENTRANCE E-SHOWER, GPH, CG - AXCELIS HE3 Spares 5 inquire
111305 AXCELIS 17350350 LINER FEM BELLOWS LEAD, GPH, CG - AXCELIS HE3 Spares 5 inquire
111306 AXCELIS 17182580 STRIKE PLATE (2) DISK, GPH, CG - AXCELIS HE3 Spares 5 inquire
111307 AXCELIS 17182600 STRIKE PLATE (4) DISK, GPH, CG - AXCELIS HE3 Spares 5 inquire
111308 AXCELIS 17182590 STRIKE PLATE (3) DISK,, GPH, CG - AXCELIS HE3 Spares 5 inquire
111309 AXCELIS 17182570 STRIKE PLATE (1) DISK FARADAY, GPH, CG - AXCELIS HE3 Spares 5 inquire
111310 AXCELIS 17098511 APERTURE BEAM DEF PLT, GPH, CG - AXCELIS HE3 Spares 5 inquire
111311 AXCELIS 17293110 ADAPTER RING DISC FARADAY GRAPHITE SHIELD, GPH, CG - AXCELIS HE3 Spares 5 inquire
111312 AXCELIS 17125570 INA 57064 FLD RPL ONLY GROUND PLATE HE SPEC, GPH, CG - AXCELIS HE3 Spares 5 inquire
91345 AXCELIS INTEGRA ES plasma dry strip system 300 mm 1 as is where is
111313 AXCELIS 17350360 LINER LEAD, GPH, CG - AXCELIS HE3 Spares 5 inquire
111314 AXCELIS 170151678 BLOCK (4) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111315 AXCELIS 170150706 BLOCK (3) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111316 AXCELIS 170150704 BLOCK (1) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111317 AXCELIS 17228170 LINER GRAPHITE BEAM GUIDE PLATE, GPH, CG - AXCELIS HE3 Spares 5 inquire
111318 AXCELIS 17270170 LINER (3) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111319 AXCELIS 170151677 LINER HORIZONTAL AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111320 AXCELIS 170150709 LINER (3) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111321 AXCELIS 17270180 LINER (4) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111322 AXCELIS 17270020 LINER (2) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111323 AXCELIS 17270060 LINER (7) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111068 Axcelis 440001339 SCREW #8-32 X .1875 HEX/SC/CONE/PT SST NF - AXCELIS HE3 Spares 5 inquire
111324 AXCELIS 170150703 LINER (4) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111069 Axcelis 170156358 SCREW SHOULDER, SUS - AXCELIS HE3 Spares 5 inquire
111325 AXCELIS 17125561 EXTRACTION ELECTRODE, GPH, CG - AXCELIS HE3 Spares 5 inquire
111070 Axcelis 170154476 ARC CHMBR SPACER, SUS - AXCELIS HE3 Spares 5 inquire
111326 AXCELIS 170150705 BLOCK (2) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111071 Axcelis 170158596 ARC CHMBR SPACER, SUS - AXCELIS HE3 Spares 5 inquire
111327 AXCELIS 17270010 LINER (1) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111072 Axcelis 17S1597 FEEDTHRU 11 ESHOWER, ALU, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111328 AXCELIS 17270140 APERTURE (2) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111073 Axcelis 17308540 LINER SIDE W-ELS VAP, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111329 AXCELIS 17270050 LINER (5) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111074 Axcelis 17170610 REPELLER STUD W ELS/IAS, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111330 AXCELIS 17270030 LINER (3) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111075 Axcelis 17308550 LINER REPELLER W-ELS, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111331 AXCELIS 17F6732 LINER AMU BELLOWS, GPH, CG - AXCELIS HE3 Spares 5 inquire
111076 Axcelis 17308520 LINER BOTTOM W-ELS, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111332 AXCELIS 17164580 TARGET GRAPHITE E-SHOWER, GPH, CG - AXCELIS HE3 Spares 5 inquire
111077 Axcelis 17170600 REPELLER W ELS/IAS, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111333 AXCELIS 170150708 LINER (1) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111078 Axcelis 17133340 CATHODE REPELLER 85% DENSE W-ELS/VAE, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111334 AXCELIS 17135440 GRAPHITE LINER ELECTRON SHOWER, GPH, CG - AXCELIS HE3 Spares 5 inquire
111079 Axcelis 17A0732 FEED THRU, ALU, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111335 AXCELIS 17270040 LINER (4) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111080 Axcelis 17308560 LINER CATHODE W-ELS, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111336 AXCELIS 170153782 INSERT GRAPHITE SCE FLANGE - AXCELIS HE3 Spares 5 inquire
111081 Axcelis 170093650R13 CATHODE ASSY TUNGSTEN ELS, 13MM - AXCELIS HE3 Spares 5 inquire
111337 AXCELIS 170151676 LINER L SHAPE HORIZONTAL AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
109546 Axcelis Optima MD Medium Current Ion Implanter 300 mm 01.06.2006 1 as is where is immediately
111082 Axcelis 170093650R15 CATHODE ASSY TUNGSTEN ELS, 15MM - AXCELIS HE3 Spares 5 inquire
111083 Axcelis 170093650R17 CATHODE ASSY TUNGSTEN ELS, 17MM - AXCELIS HE3 Spares 5 inquire
111084 Axcelis 170030630 CATHODE TUNGSTEN ELS 2X, W, HIGH PURITY - - Spares 5 inquire
111085 Axcelis 17287851 FILAMENT ELS-2X (.040), W - AXCELIS HE3 Spares 5 inquire
111086 Axcelis 17335310 CLAMP FILAMENT ETERNA ELS, TZM, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111087 Axcelis 17230090 ARC SLIT T15 W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111088 Axcelis 17308500 ARC CHAMBER W-ELS/VAE, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
109370 AXICON Y2000 RF GENERATOR Spares 1 as is where is
109371 AXICON Y2000 RF GENERATOR Spares 1 as is where is
100888 Baccini Furnace 3 Drying Furnace Solar 31.05.2008 1 as is where is immediately
56142 Baccini Screen printers Printers and Dryers 156 mm 01.06.2001 1 as is where is immediately
56145 Baccini SUN SIMULATOR / CELL TESTER Cell Tester 156mm 01.06.2006 1 as is where is immediately
56146 Baccini Sorter 1 Cell Sorter 1 156 mm 01.06.2006 1 as is where is immediately
98708 Baccini Furnace 1 Drying Furnace Solar 31.05.2008 1 as is where is immediately
98709 Baccini Furnace 2 Drying Furnace Solar 31.05.2008 1 as is where is
98710 Baccini Test 1 Solar Cell Inspection Solar 31.05.2008 1 as is where is immediately
98711 Baccini Test 2 Icos Solar Cell Inspection Solar 31.05.2008 1 as is where is immediately
98712 Baccini Test 3 Automatic Cell Sorter Solar 31.05.2008 1 as is where is immediately
98713 Baccini Wafer Boats Spares for Baccini solar cell manufacturing line Solar 01.05.2008 100 as is where is immediately
98715 Baccini Printer 1 Screen Printer Solar 31.05.2008 1 as is where is immediately
98716 Baccini Printer 2 Screen Printer Solar 31.05.2008 1 as is where is immediately
98717 Baccini Printer 3 Screen Printer Solar 31.05.2008 1 as is where is immediately
108703 Baccini Softline Screen printer Solar 01.06.2009 1 inquire immediately
77009 Baccini Screen Printer 2 screen printer 156 mm 31.05.2001 1 as is where is immediately
77010 Baccini Screen Printer 3 screen printer 156 mm 31.10.2001 1 as is where is immediately
77012 Baccini Screen Printer 1 screen printer 156 mm 01.11.2001 1 as is where is immediately
77013 Baccini CHIP AND CRACK CAMERA Chip and Crack camera 156 mm 31.05.2007 1 as is where is immediately
77017 Baccini Cell electrical tester Electrical Cell tester 156 mm 31.05.2006 1 as is where is immediately
77018 Baccini Sorter 2 Cell Sorter 2 156 mm 01.06.2006 1 as is where is immediately
77019 Baccini Sorter - Furnace Output Cell Sorter - Furnace output 156 mm 01.06.2006 1 as is where is immediately
77020 Baccini SUN SIMULATOR / CELL TESTER Cell Tester 156 mm 01.06.2006 1 as is where is immediately
103388 Baccini Oven 3 Unload Buffer FFF Unload buffer unit from Oven 3 and FFF loader Solar 31.05.2008 1 as is where is immediately
77021 Baccini Dryer 1 Dryer 1 156 mm 31.10.2001 1 as is where is immediately
77022 Baccini Dryer 2 Dryer 1 156 mm 31.10.2001 1 as is where is immediately
108730 BAUSCH & LOMB StereoZoom 4 Microscope on Boom Stand, 0.7-3X with 10X W.F. Stereo Eyepieces 1 as is where is
108731 BAUSCH & LOMB StereoZoom 4 Microscope on Small Benchtop Stand, 0.7-3X with 15X W.F. Eyepieces 1 as is where is
108732 BAUSCH & LOMB StereoZoom 6 Plus Microscope, 0.67-4.0X with WF 10X/21 Eyepieces 1 as is where is
108733 BAUSCH & LOMB StereoZoom 7 Microscope on Boom Stand, 1-7X with 15X Eyepieces and 0.67X Adapter Lens 1 as is where is
109949 Baxter Sealing Head Sealing SPARES 1 inquire
109950 Baxter Sealing Head Sealing SPARES 1 inquire
109951 Baxter Sealing Head Sealing SPARES 1 inquire
109952 Baxter Sealing Head Sealing SPARES 1 inquire
83589 Beckhoff BK9000 Ethernet interface module Spares 1 as is where is immediately
83594 Beckhoff KL9050 PLC Module 1 as is where is immediately
84213 Beckhoff KL9020 Ethernet interface Spares 2 as is where is immediately
111381 Beijing Sevenstar Huachuang Electronics Co .,Ltd ,China L42500-4/ZM (SPARES) Quartz boat for Two Tube horizontal PECVD 156mm 01.06.2010 2 as is fob immediately
84379 BELDEN 8219 IC20 BRAID R-58A/U 1 as is where is immediately
77095 Bellows 10.01.06.00878 Bellows suction cup 9mm, pack of 12 1 as is where is
98718 Berger PSS10 Pulsed Solar Simulator Solar 31.05.2008 1 as is where is immediately
108266 BESI X-Eye SF160 SL X-RAY INSPECTION FOR PCBS ASSEMBLY 01.06.2014 1 as is where is
77169 Bimba Ultran US-1737.125-S Rodless Pneumatic Actuator Spares 1 as is where is immediately
77170 Bimba Ultran US-1734.125-S Rodless Pneumatic Actuator Spares 1 as is where is immediately
110597 Binder FDL 115 Safety Drying Chamber for solvent, RT up to +300°C, Volume inside 115 Liter Facilities 1 as is where is immediately
108800 BIO-RAD ECN4900PC Profilers, 2ea Available - Parts Only 1 inquire
108801 BIO-RAD Q7 Overlay Metrology Tool 1 inquire
108802 BIO-RAD Q8 Overlay Metrology / CD Measurement Tool for up to 200mm Wafers 1 inquire
108734 Bio-Rad Q8 Overlay Metrology 1 as is all rebuilt 1 month
108735 Bio-Rad QS-1200 FT-IR Spectrometer 100-200 mm 01.05.2004 1 as is where is immediately
108736 Bio-Rad QS-300 FT-IR Spectrometer 1 as is where is immediately
108737 BIORAD Q5 Overlay Metrology Tool 200 mm 2 as is where is immediately
109953 Bird Bird Meter Miscellaneous SPARES 1 inquire
109954 Bird Dummy Load Miscellaneous SPARES 1 inquire
109955 Bird Power Meter Miscellaneous SPARES 1 inquire
109956 Bird Power Meter Miscellaneous SPARES 1 inquire
109957 Bird Power Meter Miscellaneous SPARES 1 inquire
109958 Bird Power Sensor Miscellaneous SPARES 1 inquire
109959 Bird Power Sensor Miscellaneous SPARES 1 inquire
109960 Bird Power Sensor Miscellaneous SPARES 1 inquire
109961 Bird Power Sensor Miscellaneous SPARES 1 inquire
109962 Bird Power Sensor Miscellaneous SPARES 1 inquire
109963 Bird Power Sensor Miscellaneous SPARES 1 inquire
109964 Bird Power Sensor Miscellaneous SPARES 1 inquire
109965 Bird Power Sensor Miscellaneous SPARES 1 inquire
109966 Bird Power Sensor Miscellaneous SPARES 1 inquire
106427 Blue M CC-13-C-P-B Blue M CC-13-C-P-B 150 mm/200 mm 1 as is where is
106430 Blue M DCC 1406 E MP550 Blue M Oven 150 mm/200 mm 1 as is where is immediately
106641 BMR HIDEP PECVD N/A 01.06.2006 1 as is where is
108803 BOONTON 72B Capacitance Meter SPARES 1 inquire
102643 BPS Cyberite Ion Beam Deposition Tool 125 mm 31.05.1999 1 inquire immediately
108804 BRANSON/IPC Series 4000 Plasma Barrel Stripper, 10" X 20" Quartz Chamber, S4075-11020 Reactor Center 1 inquire
108738 BRANSON/IPC 4055/2 Plasma Surface Treatment Tool w/Shelf Electrodes, 2ea Available 1 as is where is
109073 Brooks ABM 205 Robot for R3 Speedfam 776 CMP 1 as is all rebuilt 2 weeks
109078 Brooks Gemini 2 Vacuum back end (VBE) with equipment front end module (EFEM) 200-300 mm 01.06.2015 1 as is where is immediately
109079 Brooks 139750-77 Vacuum back end (VBE) ASSY,VBE,G2-6,L2.5B,M7B,BTH LL,3TP/T,200-300MM 200-300 mm 01.06.2015 1 as is where is immediately
109080 Brooks 241251-0007 Equipment front end module (EFEM) ASSY,JCP,2SR,S2,WE30,VGVG,XXLO,VIVIXXXX,30B,#3065 200 mm and 300 mm 01.06.2015 1 as is where is immediately
106804 Brooks ATR-8 robot alone LAM tool 300mm 1 as is where is immediately
106805 Brooks load port Fixload 6M, Vision, Fixload 25 300mm 10 inquire
106851 Brooks MAG 7 Wafer Handling Robot Spares 1 as is where is immediately
108392 Brooks MTX2000 Wafer Sorter 300 mm 1 as is where is
109160 Brooks MTX 4000 Wafer Sorter 300mm 1 as is where is
109161 Brooks MTX2000 Wafer Sorter 300mm 1 as is where is
109162 Brooks MTX2000 Wafer Sorter 300mm 1 as is where is
110719 Brooks MTX2000/2 Wafer Sorter 300 MM 01.06.2001 1 as is where is immediately
106892 Brooks MAG 7 Wafer Handling Robot qty 2 Spares 2 as is where is immediately
106642 BROOKS METARA 7200 Overlay 200 mm 01.06.1996 1 as is where is
106643 BROOKS SORTER MTX 2000 Wafer Handler 200 mm 1 as is where is
106644 BROOKS SORTER MTX 2000 Wafer Handler 200 mm 1 as is where is
83862 Brooks TT1ENR2-1 Brooks robot Teach Pendant TT1ENR2-1-TVS-ES-Brooks8 Spares 1 as is where is immediately
102553 Brooks DBM 2407 V2 Dual arm Atmospheric wafer handling robot 200/300mm 2 as is where is
110784 Brooks Magnatran 7 Wafer Handling Robot Spares 1 as is where is immediately
108739 Brooks Multitran 5 3 Axis Robot, Rebuilt spares 1 as is where is immediately
106948 Brooks Fixload 6M SMIF Load Port 300 mm 8 as is where is immediately
74209 Brooks 5850 MFC Mass flow controller spares 01.01.2009 2 as is where is immediately
108024 BROOKS MTX2000 WAFER SORTER 300 mm 01.06.2003 1 as is where is
106807 Brooks / PRI ABM/ATM 405 single arm atmospheric wafer handling robot 200/300mm 5 as is where is immediately
106808 Brooks / PRI ABM/ATM 407B single arm atmospheric wafer handling robot 200/300mm 5 as is where is immediately
106812 Brooks / PRI DBM 2706 Dual arm Atmospheric wafer handling robot 200/300mm 2 as is where is immediately
106814 Brooks / PRI PRE 200/200B/201/201B wafer pre-aligner 200mm 2 as is where is immediately
106105 Brooks / PRI ATM-207-2S-CE Single arm atmospheric wafer handling robot 200/300mm 01.03.2004 1 as is where is 2 weeks
98449 Brooks / PRI ABM 405 single arm atmospheric wafer handling robot 200/300mm 3 as is where is immediately
98453 Brooks / PRI DBM 2406 Dual arm Atmospheric wafer handling robot 200/300mm 4 as is where is immediately
98456 Brooks / PRI Mag 8 Vacuum Robot( From an AMAT Producer GT) AMAT p/n 0190-25011 REV 001 300 mm 1 as is where is immediately
103821 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 31.12.2006 1 inquire immediately
103822 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 01.12.2006 1 inquire immediately
108740 BROOKS AUTOMATION ATM-305 3 Axis Robot, Refurbished spares 1 as is where is immediately
108042 Brooks etc Spare Parts Various Robots, RF generators and Rf Matches for sale Spares 1 as is where is immediately
106806 Brooks PRI ABM 205 single arm atmospheric wafer handling robot 200/300mm 2 inquire
106809 Brooks PRI ATM 204/207 single arm atmospheric wafer handling robot 200/300mm 6 inquire
106810 Brooks PRI ATM 305/307 single arm atmospheric wafer handling robot 200/300mm 2 inquire
106813 Brooks PRI EDC-2400/2800 PRI robot controller(dual arm robot) 150/200/300 5 inquire
106815 Brooks PRI PRE 300/300B/301/301B wafer pre-aligner 300mm 2 inquire
98457 Brooks PRI PRE 200/200B wafer pre-aligner 200mm 3 as is where is
102555 Brooks PRI ESC-200/212/212B/222/218 PRI robot controller( single arm robot) 150/200/300 10 inquire
109557 BRUKER Quantax 200 Energy Dispersive X- Ray Spectrometer Laboratory 1 as is where is immediately
108025 BRUKER VERTEX 80V FT-IR Spectrometer 1 as is where is
110694 BRUKKER D8 Fabline MH Atomic Force Microscope 150 mm 01.06.2009 1 as is where is immediately
108702 BTU PV614 Fast Firing Furnace Solar 01.06.2013 1 as is where is immediately
84378 CABLE 3 as is where is immediately
110790 Cadence Protium S1 Hardware Emulation System 1 as is where is immediately
80256 CAJON SS-4-VC0 FITTINGS 13 as is where is immediately
80258 CAJON SS-8-VC0-4 FITTINGS 5 as is where is immediately
77154 Cam York ST-17-08-153-01-154-01-0-00-C010(1) Centrifual Blower Motor 1/8hp 220V 0.65A 30 RPM Spares 1 as is where is immediately
108394 CAMECA EX-300 Implant Dosing Measurement 300 mm 1 as is where is
106645 CAMECA EX300 Secondary Ion Mass Spectrometer 300 mm 1 as is where is
84244 Camloc RS-182 GAS SPRING Spares 2 as is where is immediately
53020 CANON Fujitsu denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
53021 Canon Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
110623 Canon FPA-5510iX I line stepper 300 mm 1 as is where is
53023 Canon Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
109090 Canon BG3-3822 DMP Pre-amp PCB for Canon FPA 5000 ES2, 3 etc. Spares 1 as is where is 2 weeks
109091 Canon BG3-1045 REF-MEM (RT) PCB for Canon FPA 5000 ES2, 3 etc. Spares 1 as is where is immediately
106020 Canon BG3-3868-000 MBD Unit (Masking Blade Driver) for Canon FPA5000ES2/ES2+ Spares 01.06.1999 1 as is where is immediately
109092 Canon BG5-1262 MLE-IF2 PCB for Canon FPA 5000 ES2, 3 etc. Spares 1 as is where is immediately
84774 Canon Chuck, 8 inch Wafer Chuck for EX3, EX4, i4, i5 200 mm 30.04.1999 1 as is where is immediately
109094 CANON BG3-3831 RT-DSP PCB for Canon FPA 5000 ES2, 3 etc. Spares 1 as is where is 2 weeks
52262 Canon 6736A reticle handling robot for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 spares 30.06.1999 1 as is where is immediately
53032 Canon Omron APR-S Reverse Phase Relay for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
52265 Canon video impedance adapter 5 channel video impedance adapter spares 30.06.1999 1 as is where is immediately
109098 Canon BY8-6168-4E0 Canon FPA3000 EX3 Command Guide - operational manual Manual 01.06.1996 1 as is where is immediately
109099 Canon BY8-4615-0E0 Canon FPA3000 EX3 Maintenance Guide Manual 01.06.1996 1 as is where is immediately
99884 Canon FPA-5000 ES3 Spare Parts 300 mm 1 as is where is immediately
109100 Canon BY8-6168-1E0 Canon FPA3000 EX3 Operation Guide - operational manual Manual 01.06.1996 1 as is where is immediately
109101 Canon BY8-6168-2E0 Canon FPA3000 EX3 Parameter Guide 1 - operational manual Manual 01.06.1996 1 as is where is immediately
109102 Canon BY8-6168-3E0 Canon FPA3000 EX3 Parameter Guide 2 - operational manual Manual 01.06.1996 1 as is where is immediately
109103 Canon BY8-6168-5E0 Canon FPA3000 EX3 Reticle Guide2 - operational manual Manual 01.06.1996 1 as is where is immediately
109105 Canon FPA 5500 iZ i-line stepper 300 mm 01.06.2001 1 as is where is immediately
53041 Canon spares Wafer Cassette holder for FPA 3000 SERIES iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
53042 Canon FPA 3000 series Cassette holder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
53045 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 3 as is where is immediately
53046 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
53047 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
53049 Canon BH8-1768-02 Library Sub Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 31.05.1999 1 as is where is immediately
53050 Canon BH8-1818-01 LD/PD board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
103739 CANON BG4-6746 BH8-1822-01 BG8-2683 WF/RC IF PCB FOR CANON I-LINE STEPPERS Spares 31.10.1996 1 as is where is immediately
103741 CANON ROCKY KS-112 SCSI EXT PCB FOR CANON FPA SERIES I-LINE STEPPERS SPARES 31.10.1996 1 as is where is immediately
53056 Canon BG9-4757, BH8--1069-01 AF DRV board for Canon FPA 1550 series spares 1 as is where is immediately
53057 Canon BG9-4760, BG83111, BH8-1071-02 SH/RH board for Canon FPA 1550 spares 30.06.1999 1 as is where is immediately
53058 Canon BG9-4761, BG8-3112, BH8-1071-01 SH/RH board FOR CANON FPA SERIES STEPPERS spares 30.06.1999 1 as is where is immediately
53059 Canon BH8-1073-01, BG9-4763, BG8-3114 PA SENSER board spares 01.06.1999 1 as is where is immediately
53060 Canon BG9-4764, BH8-1074-01 PA CCD board for Canon FPA series steppers spares 30.06.1999 1 as is where is immediately
53061 Canon BG9-4762, BG8-3113, BH8-1072-01 PA STAGE board for Canon 1550MarkIV series spares 30.06.1995 1 as is where is immediately
53062 Canon BG9-4758, BG8-3109, BH8-1070-02 SC/RC board for Canon FPA series steppers spares 30.06.1999 1 as is where is immediately
53063 Canon BG9-4759, BG8-3110, BH8-1070-02 SC/RC board for Canon fpa spares 30.06.1999 1 as is where is immediately
53066 Canon BG4-6365 PPC Pellicle Particle Checker Unit for FPA3000 series stepper iw i4 i5 ex3 ex4 ex5 ex6 spares 30.04.1997 1 as is where is immediately
53074 Canon FPA 3000 series extended reticle library extended reticle library 6 inch 01.06.1998 1 as is where is immediately
109163 Canon FPA-5500 iZa i-Line Wide-Field Stepper 300mm 1 as is where is
52338 Canon BH8-2017-01 IL3-CD-PCB for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52341 Canon BH8-1980-01 EASRCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52342 Canon BH8-1979-01 EASLCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
108919 Canon FPA-6000 ES5 248 nm (KrF) excimer exposure system 300 mm 01.05.2003 1 as is where is immediately
103800 Canon BG3-7842 STG CD4 PCB, for Canon FPA5000 ES3 scanner Spares 1 as is where is immediately
80249 CANON BG4-7001 BH8-1837-01 PCB 1 as is where is immediately
52346 Canon BH8-2022-01, BG8-3369, BG4-8680 EXP-CD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52347 Canon BH8-1938-01BG4-9386 BG8-2694 CD90-CD PCB assembly spares 1 as is where is immediately
103804 Canon BG4-6466-000 ZMI 1000 A Board (Y) Spares 1 immediately
52348 Canon BH8-2065-02, BG4-8805, BG8-3375 CD90-INTLK board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
80253 Canon FPA1550 MK4 (Spares) Targetting lenses for Canon Stepper qty 2 SPARES 31.05.1995 2 as is where is immediately
52360 Canon Zenith ZPS-250 Multiple voltage power supply 250Watts spares 30.06.1999 1 as is where is immediately
52365 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 2 as is where is immediately
52366 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52367 Canon Chino ES-600 Chart Recorder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
103826 Canon BG3-2428-000 8 inch and12 inch PIN wafer chuck ASS’Y ( FPA 5000 ES2, ES2+, ES3, ES4) Spares 01.05.2000 1 inquire immediately
108946 CANON FPA-5000 ES3 (Spare Parts) Spare Parts for Canon ES series DUV scanners Spares 12 as is where is immediately
52379 CANON FUJITSU DENSO 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52380 CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52381 CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52382 CANON FUJITU DENSO 4247-E924 1ch power supply module for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 spares 30.06.1999 1 as is where is immediately
52384 Canon Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
108968 Canon FPA3000 EX3/ i5 (Spares) Masking Blade Assembly Spares 01.06.1998 1 as is where is immediately
102059 Canon FPA 3000 SERIES i4 i5 EX3 EX4 EX5 EX6 Various Spare Parts and lenses for Canon FPA-3000 Series spares 01.05.1998 27 as is where is immediately
105904 Canon BG3-3822 DMP-PREAMP PCB Spares 01.05.2000 1 as is where is immediately
105905 Canon BG3-3823 DMP-DSP PCB Spares 01.05.2000 1 as is where is immediately
52153 Canon Chuck Tool Chuck Tool for EX3, EX4, i4, i5 200 mm 30.04.1999 1 as is where is immediately
84412 CANON FPA 1550 Mark IV (Spares) Wafer transfer arm INL-WS1 for CANON FPA 1550 MARK 4 150 mm 1 as is where is immediately
95427 CANON FPA2500i3 i-Line Stepper 150 mm 01.05.1995 1 inquire immediately
95428 Canon FPA-2500i2 i Line Stepper 150mm 1 as is where is immediately
109020 Canon FPA 5000 ES3 KrF Scanner (Scanner) 200 mm 01.05.2001 1 as is where is immediately
106205 Canon FPA3000 EX3 (Spares) Complete Illuminator Assembly Spares 01.06.1998 1 as is where is immediately
52446 Canon Fujitsu Denso 4249-E922 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52447 CANON Fujitsu Denso 4249-E923 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 01.06.1999 1 as is where is immediately
52448 CANON FUJITSU DENSO 4248-E922 2 channels power supply module for canon fpa 3000 series spares 30.06.1999 1 as is where is immediately
52450 Canon Fuji Denso 4248-E921 2 channels power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
106229 Canon BG4-8595 FPA 3000 series, iA scope objective lens unit, RIGHT Spares 01.06.1998 1 as is where is immediately
106230 Canon BG4-8594 FPA 3000 SERIES iA scope objective lens unit, Left Spares 01.06.1998 1 as is where is immediately
106231 Canon BG4-85?? FPA 3000 series iA scope relay lens unit, Right Spares 01.06.1998 1 as is where is immediately
106232 Canon BG4-8599 FPA 3000 series iA scope shutter unit Spares 01.06.1998 1 as is where is immediately
106233 Canon FPA 3000 EX3/4/5/6 (Spares) FPA 3000 EX series iA scope lens unit Spares 01.06.1998 1 as is where is immediately
106234 Canon BG4-8601 Electro-Optical part for FPA 3000 EX series iA scope Spares 01.06.1998 1 as is where is immediately
105979 Canon BG4-6736 Reticle handling robot for ES2 ES3 ES4 i5 etc Spares 01.05.2000 1 as is where is 1 month
106235 Canon iA scope for FPA 3000 Ex4,5,6 (Spares) Texas Instruments MC780P CCD EX Camera (Left) Spares 01.06.1998 1 as is where is immediately
106236 Canon iA scope for FPA 3000 Ex4,5,6 (Spares) Texas Instruments MC780P CCD EX Camera (Right) Spares 01.06.1998 1 as is where is immediately
106237 Canon iA scope for FPA 3000 Ex4,5,6 (Spares) Issoku FG199 lead screw Spares 01.06.1998 1 as is where is immediately
106238 Canon BG4-8597 Electro-optical assembly from iA scope for FPA 3000 Ex4,5,6 Spares 01.06.1998 1 as is where is immediately
106646 CARL ZEISS S-INDUSTRIAL 193 NM LASER FACILITIES 1 as is where is
108976 Cascade Summit 12000 Semi-automatic probe station with Shield Box, Temptronic thermal chuck -65C to 200 C 200 mm 01.06.2005 1 as is where is immediately
109579 Cascade Microtech Summit 11000M Prober 200 mm 1 as is where is immediately
110700 Cascade Microtech 12000B-HS Prober 150 mm 1 as is where is immediately
83551 Celerity UFC-1660 MFC C2F6 5SLPM 01.08.2009 1 as is where is immediately
109967 Celtec CD1-12 Power Supply SPARES 1 inquire
109968 Celtec CD1-12 Power Supply SPARES 1 inquire
109969 Celtec CK180-6 Generator SPARES 1 inquire
109970 Celtec CK180-6 Generator SPARES 1 inquire
109971 Celtec CM13 Generator SPARES 1 inquire
56140 CentroTherm DO 12.000-200-FF-HTO-CAN-NT4.0 Belt Reflow Furnace for solar cell production (Fast Firing Furnace) with Dryer Solar 01.05.2001 1 as is where is immediately
56144 Centrotherm Centronic E2000 Horizontal diffusion furnace for POCl3 doping 156 mm 01.05.2003 1 as is where is immediately
103801 Centrotherm DO-FF-8600-300 Belt Reflow Furnace for solar cell production (Fast Firing Furnace) Solar 01.05.2008 1 as is where is immediately
77190 Centrotherm Quartz Door Centrotherm/semco(?) Quartz Door, new 156mm 1 as is where is immediately
98721 Centrotherm E 2000 HT 300-4 Horizontal Diffusion Furnace for POCl3 doping Solar 01.05.2008 1 as is where is immediately
98722 Centrotherm E 2000 HT 320-4 Nitride Diffusion Furnace for Anti-refective coating PECVD Up to 300 mm 01.05.2008 1 as is where is immediately
98725 Centrotherm Loader Furnace Loader Solar 31.05.2008 1 as is where is immediately
108805 CHA SEC-1000 E-Beam Evaporator with CV-8 Power Supply 1 inquire
83874 CKD M4SB080-M5 AHM-850 SOLENOID VALVE 5 as is where is immediately
83870 CKD CYCLINDER CSD2-L-32-20 CYLYNDER SPARES 0 as is where is immediately
83871 CKD CYCLINDER CSD2-L-32-20 CYLYNDER SPARES 1 as is where is immediately
103731 CKPlas TS-MT510-J, TS-MT610-J Transfer Solar Wafer Cassette Solar 1 as is where is immediately
21122 CLEAN ROOM TABLE CLEANROOM TABLE STEEL CLEANROOM TABLE FACILITIES 1 as is where is immediately
80083 COLUSSI UG 50 E AUTOCLAVE FOR STERILIZATION Laboratory 01.06.2000 1 as is where is immediately
109372 COMDEL CB-5000 RF GENERATOR Spares 1 as is where is
109373 COMDEL CLX-1000 RF GENERATOR Spares 1 as is where is immediately
109374 COMDEL CLX-10K RF GENERATOR Spares 28 as is where is
109375 COMDEL CLX-10K RF GENERATOR Spares 1 as is where is
109376 COMDEL CLX-1250 RF GENERATOR Spares 3 as is where is immediately
109377 COMDEL CLX-2500 RF GENERATOR Spares 2 as is where is immediately
109378 COMDEL CLX-2500 RF GENERATOR Spares 1 as is where is immediately
109379 COMDEL CLX-2500 RF GENERATOR Spares 1 as is where is immediately
109380 COMDEL CLX-2500 RF GENERATOR Spares 3 as is where is immediately
109381 COMDEL CLX-2500 RF GENERATOR Spares 1 as is where is immediately
109382 COMDEL CLX-2500 RF GENERATOR Spares 1 as is where is immediately
109383 COMDEL CLX-2500 RF GENERATOR Spares 1 as is where is immediately
109384 COMDEL CX-10K RF GENERATOR Spares 7 as is where is
109385 COMDEL LFMN MATCH RF GENERATOR Spares 1 as is where is
107379 Comdel CLX 600 RF Generator Spares 1 as is where is immediately
109972 Comdel CB300 Generator SPARES 1 inquire
109973 Comdel CB300 Generator SPARES 1 inquire
109974 Comdel CB300 Generator SPARES 1 inquire
109975 Comdel CB300 Generator SPARES 1 inquire
109976 Comdel CB4000 Generator SPARES 1 inquire
109977 Comdel CB4000 Generator SPARES 1 inquire
109978 Comdel CB5000 Generator SPARES 1 inquire
109979 Comdel CB5000 Generator SPARES 1 inquire
109980 Comdel CB5000 Generator SPARES 1 inquire
109981 Comdel CB5000 Generator SPARES 1 inquire
109982 Comdel CB5000 Generator SPARES 1 inquire
109983 Comdel CB5000 Generator SPARES 1 inquire
109984 Comdel CB5000 Generator SPARES 1 inquire
109985 Comdel CB5000 Generator SPARES 1 inquire
109986 Comdel CB5000 Generator SPARES 1 inquire
109987 Comdel CB5000 Generator SPARES 1 inquire
109988 Comdel CB5000 Generator SPARES 1 inquire
109989 Comdel CB5000 Generator SPARES 1 inquire
109990 Comdel CB5000 Generator SPARES 1 inquire
109991 Comdel CB5000 Generator SPARES 1 inquire
109992 Comdel CB600 Generator SPARES 1 inquire
109993 Comdel CB600 Generator SPARES 1 inquire
109994 Comdel CB600 Generator SPARES 1 inquire
109995 Comdel CB600 Generator SPARES 1 inquire
109996 Comdel CB600A Generator SPARES 1 inquire
109997 Comdel CB600A Generator SPARES 1 inquire
109998 Comdel CB600A Generator SPARES 1 inquire
109999 Comdel CB600A Generator SPARES 1 inquire
110000 Comdel CB600A Generator SPARES 1 inquire
110001 Comdel CB600S Generator SPARES 1 inquire
110002 Comdel CD-1500 Generator SPARES 1 inquire
110003 Comdel CDX-1000 Generator SPARES 1 inquire
110004 Comdel CLF 5000 Generator SPARES 1 inquire
110005 Comdel CLX 600 Generator SPARES 1 inquire
110006 Comdel CLX 600H Generator SPARES 1 inquire
110007 Comdel CLX 600H Generator SPARES 1 inquire
110008 Comdel Controller Miscellaneous SPARES 1 inquire
110009 Comdel CPM 1000 Match SPARES 1 inquire
110010 Comdel CPM-1000/REMOTE Miscellaneous SPARES 1 inquire
110011 Comdel CPM-5000/REMOTE Miscellaneous SPARES 1 inquire
110012 Comdel CPM-5000/REMOTE Miscellaneous SPARES 1 inquire
110013 Comdel CPMX REMOTE CONTROLLER Miscellaneous SPARES 1 inquire
110014 Comdel CPMX REMOTE CONTROLLER Miscellaneous SPARES 1 inquire
110015 Comdel CPMX REMOTE CONTROLLER Miscellaneous SPARES 1 inquire
110016 Comdel CPMX REMOTE CONTROLLER Miscellaneous SPARES 1 inquire
110017 Comdel CPMX-1000 Match SPARES 1 inquire
110018 Comdel CPMX-1000 Match SPARES 1 inquire
110019 Comdel CPMX-1000 Match SPARES 1 inquire
110020 Comdel CPMX-1000 Match SPARES 1 inquire
110021 Comdel CPS 1000 Generator SPARES 1 inquire
110022 Comdel CPS 1000 Generator SPARES 1 inquire
110023 Comdel CPS 3000 Generator SPARES 1 inquire
110024 Comdel CV 1000 Generator SPARES 1 inquire
110025 Comdel CV 1000 Generator SPARES 1 inquire
110026 Comdel CV 1000 Generator SPARES 1 inquire
110027 Comdel CV 500 Generator SPARES 1 inquire
110028 Comdel CV 500 Generator SPARES 1 inquire
110029 Comdel CX 2500S Generator SPARES 1 inquire
110030 Comdel CX 600H Generator SPARES 1 inquire
110031 Comdel CX2500 Generator SPARES 1 inquire
110032 Comdel CX2500 Generator SPARES 1 inquire
110033 Comdel CX2500 Generator SPARES 1 inquire
110034 Comdel CX2500S Generator SPARES 1 inquire
110035 Comdel ESC-2 Power Supply SPARES 1 inquire
110036 Comdel ESC-2 Power Supply SPARES 1 inquire
110037 Comdel LFMN8/5 Match SPARES 1 inquire
109386 COMET 101187 RF GENERATOR Spares 1 as is where is
109387 COMET 101187 RF GENERATOR Spares 4 as is where is
109388 COMET 101187 RF GENERATOR Spares 1 as is where is
109389 COMET 300159 RF GENERATOR Spares 1 as is where is
110670 Complete G5.5 Flat Panel Display Production line 1300mm x 1500mm 01.06.2004 1021 as is where is immediately
110671 Complete G6 Flat Panel Display Production line 1500mm x 1850mm 01.06.2006 920 as is where is immediately
110672 Complete G6 Flat Panel Display Production line 1500mm x 1850mm 01.06.2005 1 as is where is immediately
53025 COMPUMOTOR M57-51 Lead screw spares 1 as is where is immediately
82179 Concoa 806 6530 Singe stage Oxygen regulator valve w/ guage 1 as is where is immediately
84021 Condor B24-1.2 dc power supply 1 as is where is immediately
21665 CONDOR HCC15 3A + POWER SUPPLY UNIT FOR KLA 7700 SURFSCAN SPARES 31.01.1996 1 as is where is immediately
108741 Contamination Control Desiccator boxes, 10ea Available Facilities 10 as is where is immediately
99395 Convac CBA-M-2000-U Photoresist coater 31.01.1995 1 as is where is immediately
13143 COSEL MMC100-2 POWER SUPPLY SPARES 2 as is where is immediately
108742 CR TECHNOLOGY UF160-0 Xray System 1 as is where is
109533 Creative Design Engineering (CDE) ResMap 178 Resistivity Mapping System Up to 200 mm 01.06.2012 1 as is where is immediately
78638 Credence Duo SX (Spare Parts) SPARE PARTS FROM AUTOMATED TEST SYSTEM test 1 as is where is immediately
87089 Credence Personal Kalos I Test system TEST 31.10.2002 1 as is where is immediately
84278 Credence 671-4394-01 Test head connector board Spares 01.01.1999 2 as is where is immediately
84279 Credence 671-4270-02 Capture Processor board 1A Spares 01.01.1999 1 as is where is immediately
84280 Credence 671-4394-04 Test head connector board Spares 01.01.1999 1 as is where is immediately
84281 Credence 672-6051-01 Support Module Interface 01.01.1999 1 as is where is immediately
80211 Credence Duo SX (Spare Parts) Credence Duo SX Main PC Spares 01.01.1999 2 as is where is immediately
83559 Credence 671-4276-50 PIN card FOR CREDENCE DUO SX TESTER Spares 01.01.1999 3 as is where is immediately
83819 Credence 671-4359-01 DPAC Parallel Pattern Memory PCB Spares 01.01.1999 1 as is where is immediately
84099 Credence 671-1376-02 Analog Power Monitor PCB 584-02 Spares 01.07.1999 1 as is where is immediately
80269 Credence 671-4283-01 Duo SX ROM Sequencer TPI/PD Spares 01.07.1999 1 as is where is immediately
80270 Credence 671-4331-01 DUO SX ROM Sequencer PCB SPARES 01.07.1999 1 as is where is immediately
80271 Credence 670-9426-05 DUO SX Controller I/O PCB Spares 01.07.1999 1 as is where is immediately
80272 Credence 671-0693-04 Duo SX DMA2 Controller PCB spares 01.05.1999 1 as is where is immediately
80273 Credence 671-4043-01 DUO SX FUNCTIONAL TEST CONTROLLER PCB Spares 01.04.1999 2 as is where is immediately
80274 Credence 671-4098-952707 DUO SX Master Clock Dist. 01.10.1999 1 as is where is immediately
81829 Credence 202-2000-00 Credence Duo SX controller 01.06.1999 1 as is where is immediately
106924 Credence 671-4359-00 REV 3 DPAC Parallel Pattern Memory SPARES 01.07.1999 18 as is where is immediately
106925 Credence 671-4177-04 Support Module Interface PCB spares 01.08.1998 2 as is where is immediately
106926 Credence 671-4351-00 rev A Intersegment Communications Connector Spares 01.01.1999 1 as is where is immediately
83631 Credence 071-1022-01 Duo & Logic 100 Test System Service Manual MANUAL 01.01.1997 1 as is where is immediately
106927 Credence 671-2119-00 Analog Support Air flow / Bus Grant Spares 8 as is where is immediately
106928 Credence 671-4270-02 REV A Capture Processor PCB 1A Spares 01.01.1999 2 as is where is immediately
106929 Credence 671-4270-03 rev A Capture Processor 1a pcb Spares 01.01.1999 2 as is where is immediately
106930 Credence 671-4155-05 Analog Support Module VHF PCB Spares 01.06.1999 1 as is where is
106931 Credence 671-4098-952707 DUO SX Master Clock Dist. PCB spares 01.10.1999 1 as is where is immediately
106932 Credence 671-4283-01 REV 4 Duo SX ROM Sequencer TPI/PD PCB SPARES 01.07.1999 1 as is where is immediately
106933 Credence 671-4331-01 DUO SX ROM Sequencer PCB Spares 01.07.1999 1 as is where is immediately
106934 Credence 670-9426-05 DUO SX Controller I/O PCB Spares 01.07.1999 1 as is where is immediately
80311 Credence 671-4127-00 Scan Memory 01.01.1999 15 as is where is immediately
106935 Credence 671-0693-04 Duo SX DMA2 Controller PCB Spares 01.05.1999 2 as is where is immediately
80312 Credence 671-4359-00 DPAC Parallel Pattern Memory Spares 01.01.1999 35 as is where is immediately
106936 Credence 671-4043-01 REV A DUO SX FUNCTIONAL TEST CONTROLLER PCB Spares 01.04.1999 2 as is where is immediately
80313 Credence 672-4359-00 DPAC Parallel Pattern Memory 01.01.1999 1 as is where is
106937 Credence 671-0951-04 DUO SX Analog I/O Board Spares 01.01.1999 3 as is where is immediately
80314 Credence 672-6051-03 Support Module Interface PCB for a Credence Duo tester Spares 01.01.1999 4 as is where is immediately
106938 Credence 671-4127-00 Scan Memory PCB FOR A CREDENCE DUO SX TESTER Spares 01.01.1999 4 as is where is immediately
106939 Credence 671-4394-05 REV A Test head connector PCB Spares 01.11.2005 1 as is where is immediately
80316 Credence 671-4270-03 Capture Processor 01.01.1999 2 as is where is immediately
106940 Credence 672-6051-01 Support Module Interface tpi / td pcb Spares 01.07.1999 1 as is where is immediately
80317 Credence 671-2119-00 Analog Support Air flow Bus Grant PCB , for Credence DUO tester Spares 7 as is where is immediately
80318 Credence 671-4155-05 Analog Support Module VHF PCB for Credence Duo Tester Spares 01.06.1997 1 as is where is immediately
80320 Credence 671-4351-00 Intersegment Communications Connector 01.01.1999 1 as is where is immediately
81864 Credence 671-4098-02 DUO SX Master Clock Dist. PCB Spares 01.02.1999 1 as is where is immediately
81866 Credence 671-4635-01 Testhead connector PCB board, from a Credence Duo SX test system Spares 01.02.2007 1 as is where is immediately
82168 Credence 671-1461-04 Intersegment communications board Spares 01.07.1999 1 as is where is immediately
109081 CTI Cryogenics 9600 CRYOGENIC COMPRESSOR Facilities 01.06.2015 1 as is where is immediately
54562 CTI cryogenics CRYOTORR-100 Cryopump PUMP 31.05.1997 1 as is where is immediately
94583 CTI Cryogenics 8033168 Torr High Vacuum Pump PUMP 1 as is where is
94584 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94585 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94586 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94587 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94588 CTI Cryogenics 8033168 Torr High Vacuum Pump PUMP 1 as is where is immediately
94589 CTI Cryogenics 8116036 G 002 Pump On Board PUMP 1 as is where is
94590 CTI Cryogenics 80182 G 001 Torr Pump PUMP 1 as is where is
110749 CTI Cryogenics 8033168 High torr vacuum pump PUMP 1 as is where is immediately
69855 CTI Cryogenics Cryotorr 100 Cryopump pump 31.05.1997 1 as is where is immediately
4259 CTI-CRYOGENIC 8040406 REV C ONBOARD FASTREGEN OPERATION MANUAL Spares 1 as is where is
4260 CTI-CRYOGENIC 8040491 REV B ONBOARD CRYOPUMP INST. MAINT MANUAL Spares 01.01.1970 1 as is where is
4265 CTI-CRYOGENIC 8040406 REV C ONBOARD FASTREGEN OPERATION MANUAL Spares 1 as is where is
4266 CTI-CRYOGENIC 8040491 REV B ONBOARD CRYOPUMP INST. MAINT MANUAL Spares 01.01.1970 1 as is where is
106521 Custom Polypropylene 3 ft Bench 3ft Develop Hood Positive Resist Batch 150 mm/200 mm 1 as is where is immediately
106523 Custom S-Cubed Spin Coat Bake System Clamshell 1 as is where is immediately
4267 CVC 31-313216-8171 CONNEXION INSTRUCTION MANUAL Spares 01.03.1998 2 as is where is immediately
83892 CVC GTC-036 TERMOCOUPLE GAUGE TUBE 3 as is where is immediately
109044 CVD Equipment Corporation Easy Tube 3000 Growth Equipment 06.01.2009 2 as is where is
106647 CYBER OPTICS CYBERSCAN C212/110 Laser Measure 200 mm 1 as is where is
106018 Cymer 01-13500-07 Paddle for Cymer 4300 laser Spares 30.06.1996 1 as is where is immediately
110753 CYMER ELS-6400 248 NM DUV Excimer Laser Facilities 01.06.2002 1 as is where is immediately
108963 Cymer XLA 160+/165 193 nm excimer laser Facilities 1 as is where is immediately
52159 Cymer ELS 4300 Maintenance Tool kit and spare parts SPARES 01.06.1998 1 as is where is immediately
108806 DAGE Series 5000 Assembly Test System, Incomplete - Call for Details ASSEMBLY 1 inquire
108807 DAGE XD6500 X-Ray Inspection Tool ASSEMBLY 1 inquire
108808 DAGE 2400-WP-100 100g Wire Pull Load Cell SPARES 1 inquire
108809 DAGE 2400PC-BS500G 500g Ball Shear Load Cell for Dage 2400 SPARES 1 inquire
108810 DAGE 2400PC-WP10KG 10 Kg Wire Pull Load Cell for Dage 2400 SPARES 1 inquire
108642 Dage 4000 Bond Pull tester Assembly 01.06.2006 1 as is where is immediately
95116 DAGE PC2400 Bond & Wire Tester Operators Guide Manual 31.03.1998 0
69789 Dage 4000-HK-5-2 160-OT12-PTP-02 PULL TEST hook Spares 1 as is where is immediately
108743 DAGE BT23PC Die Shear Tester with LC200 Die Shear Load Cell, 2ea Available ASSEMBLY 1 as is where is 2 weeks
108744 DAGE BT24 Ball/Bump Shear Tester ASSEMBLY 01.05.1994 1 as is where is immediately
108745 DAGE BT23-PC Die Shear Tester with LC200 Die Shear Load Cell Assembly 01.05.1996 1 as is where is immediately
108746 DAGE 2400PC Wire pull tester Assembly 1 as is where is immediately
106447 Dage 4000 DAGE 4000 SHEAR TESTER 150 mm/200 mm 01.06.2000 1 as is where is immediately
106448 Dage 4000 OPS DAGE 4000 SHEAR TESTER w/computer 150 mm/200 mm 1 as is where is immediately
109390 DAIHEN AGA-50B2 RF GENERATOR Spares 3 as is where is
109391 DAIHEN AMN-30F-V RF GENERATOR Spares 1 as is where is
109392 DAIHEN AMN-50B2A RF GENERATOR Spares 3 as is where is
109393 DAIHEN AMN-50F RF GENERATOR Spares 8 as is where is
109394 DAIHEN ATM-30A RF GENERATOR Spares 1 as is where is
109395 DAIHEN ATP-15B RF GENERATOR Spares 2 as is where is
109396 DAIHEN DGP-120A2 RF GENERATOR Spares 2 as is where is
109397 DAIHEN FGA-30A2 RF GENERATOR Spares 16 as is where is
109398 DAIHEN FGA-30C RF GENERATOR Spares 1 as is where is
109399 DAIHEN FGA-30C RF GENERATOR Spares 20 as is where is
109400 DAIHEN FRM-30A RF GENERATOR Spares 9 as is where is
109401 DAIHEN FRM-30A RF GENERATOR Spares 6 as is where is
109402 DAIHEN FTM-80A1 RF GENERATOR Spares 18 as is where is
109403 DAIHEN MFG-20SA3 RF GENERATOR Spares 2 as is where is
109404 DAIHEN MFT-20SB RF GENERATOR Spares 1 as is where is
109405 DAIHEN NX-HGA-30B RF GENERATOR Spares 3 as is where is
109406 DAIHEN NX-HRM-30B RF GENERATOR Spares 1 as is where is
109407 DAIHEN NX-HRM-30B4 RF GENERATOR Spares 1 as is where is
109408 DAIHEN NX-PLB-01 RF GENERATOR Spares 3 as is where is
109409 DAIHEN NX-RGA-10G RF GENERATOR Spares 3 as is where is
109410 DAIHEN NX-WMN-50G RF GENERATOR Spares 2 as is where is
109411 DAIHEN RMN-50M RF GENERATOR Spares 2 as is where is
109412 DAIHEN RTGA-30A1 RF GENERATOR Spares 16 as is where is
109413 DAIHEN WGA-50E RF GENERATOR Spares 1 as is where is
109414 DAIHEN WGA-50E RF GENERATOR Spares 7 as is where is
109415 DAIHEN WGA-50E1 RF GENERATOR Spares 1 as is where is
109416 DAIHEN WMN-50C6A RF GENERATOR Spares 2 as is where is
110038 Daihen Daihen Match Match SPARES 1 inquire
110039 Daihen Daihen Match Match SPARES 1 inquire
110040 Daihen Dome Match Match SPARES 1 inquire
110041 Daihen MFM 20SA Match SPARES 1 inquire
110042 Daihen NGA-30C Generator SPARES 1 inquire
110043 DAIHEN NMN-20A1 Match SPARES 1 inquire
110044 Daihen WGA-20A Generator SPARES 1 inquire
110045 DAIHEN WMN-25 Miscellaneous SPARES 1 inquire
91136 Daitron CVP-320 Wafer Edge Grinder 300 mm 01.11.2008 1 as is where is immediately
110720 Datacon 2200 apm MultiChip Die Bonder 300 MM 01.06.2007 1 as is where is immediately
106482 Datacon CS1250 Die Pick and Sort to tape reel 150 mm/200 mm/300 MM 1 as is where is immediately
80176 Dayton 4C446 Blower motor 115v 01.01.2012 1 as is where is immediately
109032 DDM Novastar 1800HT Reflow Oven SMT 1 as is where is immediately
109040 DEK Horizon 03iX Screen Printer SMT 01.12.2018 3 as is where is immediately
110675 Dektak 3ST Stylus Profileometer 150 mm 1 as is all rebuilt immediately
84375 DELL PWB 9578D GX B-V0A 94V-0 31.03.1999 1 as is where is immediately
77204 Delta ?? Impulse Driver Board, 5000V, 3A, for PECVD 01.01.2009 1 as is where is immediately
106450 Delta 4CJ Photoresist Coater 150 mm/200 mm 01.02.2005 1 as is where is immediately
106451 Delta 5AQ Positive Photoresist Developer 200 mm 01.06.2005 1 as is where is immediately
106456 Delta Custom DI Wafer Cleaner 150 mm/200 mm 01.06.2005 1 as is where is immediately
106458 Delta CUSTOM DI Wafer Cleaner 150 mm/200 mm 1 as is where is immediately
108747 DELTRONIC DH14-RR Profile Projector with 20X Objective Lens 1 as is where is
110594 Delvotec 4500/Siplace A2 Die Bonder Assembly 01.06.2006 1 as is all rebuilt immediately
110595 Delvotec 6200 Gold Ball Wire Bonder Assembly 01.06.2007 1 inquire immediately
83627 DENSAN DSB-S17 OUTPUT BOARD SDB-S17 2 as is where is immediately
108811 DENTON DV-502A Electron-Beam Evaporator with Telemark TT3 P/S, 4 Pocket E-Gun 1 inquire
77186 Despatch KK33UH-009-00-4,MC Thermocouple sensor probe spares 1 as is where is immediately
77187 Despatch 164700 Thermocouple Spares 1 as is where is immediately
108995 Despatch CDF 7210 (SPARES) 55 cm length of furnace belt spares 1 as is where is immediately
81837 Deublin 55-000-003 Deublin, Rotary Union 5/8" NEW Spares 1 as is where is immediately
110599 Diener Tetra 30LF PC Plasma Surface Treatment Machine Assembly 01.05.2016 1 as is where is immediately
110614 Diener Plasma Asher Assembly 1 as is where is immediately
76954 Dimetric JGT-10F Relay 380V 80A spares 4 as is where is immediately
111361 Disco DAD 3350 Scriber & Dicer 1 as is where is immediately
111369 Disco DCS1440 Wafer & Mask Scrubber 1 as is where is immediately
109110 Disco DAD 3350 Automatic Dicing Saw 200 mm 01.01.2008 5 as is where is immediately
109111 Disco DFD 6240 Fully automatic dicing saw 200 mm 01.06.2007 1 as is where is immediately
106816 Disco DAD 321 Automatic wafer dicing saw 150 mm 01.05.2001 1 as is where is immediately
108396 Disco DFL7160 Laser Saw 300 mm 1 as is where is
108397 Disco DFL7340 Laser Saw 200 mm 01.01.2016 1 as is where is
108398 Disco DFS8910 Surface Planarization 300 mm 1 as is where is
109169 DISCO DFL7160 Laser Saw 300mm 1 as is where is
109170 DISCO DFL7160 Laser Saw 300mm 1 as is where is
108155 DISCO DFG-82IF/8 Rotary Surface Grinder 200 mm 01.06.1992 1 as is where is
110721 Disco DFG 850 Wafer Back Grinder 200 MM 01.06.2000 1 as is where is immediately
110722 Disco DFG 850 Wafer Back Grinder 200 MM 01.06.2004 1 as is where is immediately
108951 Disco DSC 141 After Sawing Cleaner 1 as is where is immediately
110745 Disco DTU 152 Water Temperature Controller Unit Assembly 01.06.2016 5 as is where is
110764 Disco DFL7340 Laser Saw 200 mm 01.06.2011 1 as is where is immediately
110800 Disco DGP8761 with DFM2800 Wafer Back Grinder with Wafer Taper 300 mm 01.06.2012 1 inquire immediately
106461 Disco DFD6361 DICING SAW 150-200 mm 01.11.2009 1 as is where is immediately
106462 Disco DFD6362 DICING SAW 150 mm/200 mm 01.05.2016 1 as is where is immediately
106463 Disco DFD651 8" Dual Spindle Automated DICING Saw 150 mm/200 mm 01.06.1995 1 as is where is immediately
106464 Disco DFD651 8 inch Dual Spindle Dicing Saw 150 mm/200 mm 01.06.2000 1 as is where is immediately
90089 DISCO DFL7160 WBL LASER SAW (DAF Type) 300 mm 01.05.2012 1 as is where is immediately
91658 DNS SS-3000-A Scrubber (4F) 300 mm 1 as is where is
91660 DNS SS-3000-A Scrubber (4F) 300 mm 1 as is where is
91661 DNS SS-3000-A Scrubber (4F) 300 mm 31.05.2007 1 as is where is
91662 DNS SS-3000-AR Scrubber (2F/2B) 300 mm 30.06.2004 1 as is where is
107022 DNS SK-2000 C&D Track 1 as is where is immediately
91664 DNS SS-3000-AR Scrubber (4B) 300 mm 31.05.2005 1 as is where is
91667 DNS SS-3000-AR Scrubber (4B) 300 mm 31.05.2007 1 as is where is
91674 DNS SU-3000 Cleaner (MP Type) (2L/P) 300 mm 1 as is where is
91675 DNS SU-3000 Cleaner (MP Type) (3L/P) (1R) 300 mm 1 as is where is
91677 DNS SU-3000 Cleaner (MP Type) (2L/P) 300 mm 1 as is where is
91678 DNS SU-3000 Cleaner (MP Type) (2L/P) 300 mm 1 as is where is
91679 DNS SU-3000 Cleaner (MP Type) (2L/P) 300 mm 1 as is where is
91680 DNS SU-3000 Cleaner (SR Type) (3L/P) 300 mm 1 as is where is
110624 DNS FC-821L Wet 200 mm 1 as is where is
91681 DNS SU-3000 Cleaner (MP Type) (3L/P) 300 mm 1 as is where is
110625 DNS FC-821L Wet 200 mm 1 as is where is
110626 DNS SPW-813A Wet 200 mm 1 as is where is
91683 DNS SU-3000 Cleaner (MP Type) (3L/P) 300 mm 1 as is where is
91684 DNS SU-3000 Cleaner (MP Type) (3L/P) 300 mm 31.05.2008 1 as is where is
110628 DNS SCW-80A Coater Lithography Coater and Developer 200 mm 1 as is where is
110629 DNS SDW-80A Developer Lithography Coater and Developer 200 mm 1 as is where is
106022 DNS SK 2000 BVPE COATER AND DEVELOPER TRACK WITH 2 CT, 2 BCT, 4 DEVELOPERS 200 mm 30.09.2003 1 as is where is immediately
110630 DNS SK-3000-BVPEU Lithography Coater and Developer 300 mm 1 as is where is
106023 DNS SK 2000 BVPE Photoresist coater and developer - 2 ct - 2 bct - 4 dev 200 mm 31.03.2004 1 as is where is immediately
110637 DNS FC3000 Wet 300 mm 1 as is where is
106817 DNS RF3S coater and developer( 5C5D) 300mm 1 inquire
103518 DNS FC3000 Pre Metal Cleaner 300 mm 31.05.2009 1 as is where is
103520 DNS SS-3000-AR Wafer Scrubber 300 mm 31.05.2003 1 as is where is
103521 DNS SS-3000-AR Wafer Scrubber 300 mm 31.05.2001 1 as is where is
103522 DNS SS-3000-AR Wafer Scrubber (4Back) 300 mm 1 as is where is
108898 DNS AS2000 Oxide Wafer scrubbing system 200 mm 01.08.2000 1 as is where is immediately
103523 DNS SU-3000 Cleaner (SR Type)(SST) (3LoadPort) 300 mm 31.05.2003 1 as is where is
103524 DNS SU-3000 Cleaner (MP Type) (2_AM1/2_DHF) (2LoadPort) 300 mm 1 as is where is
110952 DNS HT24DI16 ALGO Spares 5 inquire
110953 DNS HT24DIO32 ALGO Spares 5 inquire
110954 DNS Scrubber Spare Parts DTC/TBC U/D ASSY Spares 5 inquire
110955 DNS Scrubber Spare Parts DBC U/D ASSY Spares 5 inquire
109164 DNS SS-3000 Wafer Scrubber 300mm 1 as is where is
110956 DNS AMD322-8US-6-0-4 CKD Spares 5 inquire
109165 DNS SS-3000-AR Wafer Scrubber 300mm 1 as is where is
110957 DNS AMD312-8US-6-24R CKD Spares 5 inquire
109166 DNS SS-3000-AR Wafer Scrubber 300mm 1 as is where is
110958 DNS AMD312-8US-6-0-4 CKD Spares 5 inquire
109167 DNS SS-3000-AR Wafer Scrubber 300mm 1 as is where is
110959 DNS AMD312-X0221 CKD Spares 5 inquire
109168 DNS SS-3000-AR Wafer Scrubber 300mm 1 as is where is
110960 DNS AMD312-8US-6-3-4 CKD Spares 5 inquire
108401 DNS MP-3000 Single Wafer Processing 300 mm 1 as is where is
110961 DNS SGM-A3L3J26 AC servo motor Spares 5 inquire
110962 DNS SGM-A5L314CP AC servo motor Spares 5 inquire
110963 DNS SGM-A3LWJ46 AC servo motor Spares 5 inquire
110964 DNS SGM-A3L3J46P AC servo motor Spares 5 inquire
110965 DNS SGD-A3BH SERVOPACK Spares 5 inquire
110966 DNS SGD-02BH SERVOPACK Spares 5 inquire
110967 DNS MX-7500 DBC/TBC BRUSH LOAD CELL AMP (TECHNICAL&TRY Spares 5 inquire
110968 DNS GTC-2000E N2 HEAT PACK Spares 5 inquire
110969 DNS 739-57668 N2 FLOW METER(TOKYO KEISO) Spares 5 inquire
110970 DNS 739-63356 FLOW METER(TOKYO KEISO) Spares 5 inquire
110971 DNS CDRQ2BS30-180C-A93 SMC (SHUTER CYLINDER) Spares 5 inquire
108156 DNS SS-W80A-AR Wafer & Mask Scrubber 200 mm 01.06.2000 1 as is where is
110972 DNS Scrubber Spare Parts MT ARM SENSOR ASSY Spares 5 inquire
108157 DNS SU-3000 Cleaner (SR Type)(SST) (3LoadPort) 300 mm 01.06.2004 1 as is where is
110973 DNS 7-39-33737 FSB BOARD Spares 5 inquire
110974 DNS MS61L PRESSURE SWITCH Spares 5 inquire
110975 DNS NK005-2 D-SONIC FLOW METER Spares 5 inquire
110976 DNS H5CN-XANS OMRON TIMER Spares 5 inquire
110977 DNS H7CL-ADS OMRON COUNTER Spares 5 inquire
110978 DNS Scrubber Spare Parts PRESSURE GAUGE Spares 5 inquire
110979 DNS Scrubber Spare Parts EXHAUST GAUGE Spares 5 inquire
110980 DNS CZ-40P-AP08-D FLOW SENSOR Spares 5 inquire
110981 DNS DP2-20Z fLOW METER Spares 5 inquire
110982 DNS FX-13 AMPLIFIER Spares 5 inquire
110983 DNS E3X-DA21 OMRON AMPLIFIER Spares 5 inquire
110984 DNS N4S010 CKD Spares 5 inquire
110985 DNS Scrubber Spare Parts SPIN U/D CYLINDER Spares 5 inquire
110986 DNS Scrubber Spare Parts CHUCK O/C CYLINDER Spares 5 inquire
110987 DNS KG5025 LEVEL SENSOR Spares 5 inquire
110988 DNS SS-A1 CONVERTOR Spares 5 inquire
110989 DNS Scrubber Spare Parts CLEAN CUP Spares 5 inquire
110990 DNS 700.252.705 GF+ VALVE Spares 5 inquire
105871 DNS 2-39-06099 NISSHIN VACUUM gauge 0-76 cmHg spares 1 as is where is immediately
110991 DNS Scrubber Spare Parts MT ARM Spares 5 inquire
105872 DNS 2-39-06106 NISSHIN pressure gauge 0-0.5 kgf cm2 spares 1 as is where is immediately
110992 DNS Scrubber Spare Parts SHUT DOOR PULLY Spares 5 inquire
110993 DNS Scrubber Spare Parts MAIN HLS BOARD Spares 5 inquire
110994 DNS AMD31-15-12-3 CKD VALVE Spares 5 inquire
110995 DNS AMD41-20-20-5FX-4 CKD VALVE Spares 5 inquire
93076 DNS SK-200W-AVPF Coater / Developer system (2C/2D) 200 mm 31.05.1997 1 as is where is
110996 DNS Scrubber Spare Parts D-SONIC ARM Spares 5 inquire
93077 DNS SK-200W-BVPE i-Line Photo Track Coater (3C3D) 200 mm 31.05.1997 1 as is where is
110997 DNS Scrubber Spare Parts N2 PLATE Spares 5 inquire
106648 DNS SS-3000-AR WET SCRUBBER – Including HDD 300 mm 01.06.2007 1 as is where is
106649 DNS SS-3000-AR WET SCRUBBER – No HDD 300 mm 01.06.2006 1 as is where is
108697 DNS SU3000 Acquaspin Wet wafer acid processing 300 MM 01.06.2004 1 inquire
106650 DNS SS-3000-AR WET SCRUBBER – No HDD 300 mm 01.06.2007 1 as is where is
106651 DNS SS-3000-AR WET SCRUBBER – No HDD 300 mm 01.06.2007 1 as is where is
106652 DNS SS-3000-AR Wafer Scrubber (4Back) (Including HDD) 300 mm 01.06.2007 1 as is where is
106653 DNS SS-3000-AR Wafer Scrubber (4Back) (Including HDD) 300 mm 01.06.2007 1 as is where is
106654 DNS SU3100 WET ETCH 300 mm 1 as is where is
108201 DNS INSPECTION SCOPE Inspection Scope 1 as is where is
91581 DNS SK-80BW AVPE Photoresist Coater and Developer system (2C/2D) 200 mm 31.05.1995 1 as is where is 3 months
18878 DNS 806 9406 D-SPIN 60A Instruction manual 1 as is where is
18879 DNS 803-9605 D-SPIN 80A Parts list 1 as is where is
18880 DNS 8089702 D-SPIN 80A Engineer's manual 1 as is where is
18881 DNS 2229 D-SPIN 80A Electrical circuit diagram 1 as is where is
18882 DNS 603 9309 D-SPIN 60A Part list 1 as is where is
93378 DNS WS820L Wet Bench (Porous Silicon Process) 200 mm 01.08.2011 1 as is where is immediately
18883 DNS 006 9105 D-SPIN 622 Service manual / part list 1 as is where is
18884 DNS 6398 D-SPIN 60 A Electrical circuit diagram 1 as is where is
18885 DNS 6398 D-SPIN 60 A Part list 1 as is where is
18886 DNS 6398 D-SPIN 60A Service manual 1 as is where is
18887 DNS 806-9311 D-SPIN 60A Instruction manual 1 as is where is
18888 DNS 603-9311 D-SPIN 60A Part list 1 as is where is
18889 DNS 806-9371 D-SPIN 60 Instruction manual 1 as is where is
98268 DNS SS-3100 WET Scrubber 300 mm 31.05.2012 1 inquire immediately
94447 DNS DNS SS-3000-A Bevel Scrubber (4F) 300 mm 31.05.2006 1 as is where is
94448 DNS DNS SS-3000-A Bevel Scrubber (4F) 300 mm 31.05.2011 1 as is where is
94449 DNS DNS SS-3000-A Wafer Scrubber (4Front) 300 mm 1 as is where is
94450 DNS DNS SS-3000-AR Bevel Scrubber (4B) 300 mm 31.05.2008 1 as is where is
106738 DNS DUOI ARF-I TRACK 300 mm 1 as is where is
94451 DNS DNS SS-3000-AR Wafer Scrubber (4Back) 300 mm 1 as is where is
94452 DNS DNS SS-3000-AR Wafer Scrubber (4Back) 300 mm 1 as is where is
94454 DNS DNS SU-3000 Cleaner (MP Type)(DHF) (2LoadPort) 300 mm 1 as is where is
94456 DNS DNS SU-3000 Cleaner (SR Type)(SST) (3LoadPort) 300 mm 31.05.2004 1 as is where is
109567 DNS SS-3000-AR Batch Wafer Cleaner 300 mm 01.05.2007 1 as is where is immediately
110621 DNS / Sokudo RF3 Lithography Coater and Developer 300 mm 1 as is where is
108220 DNS / SOKUDO RF3 Photoresist Coater and Developer Track 300 mm 01.06.2019 1 inquire immediately
111362 DNS Dai Nippon Screen CW2000 Wet stations 1 as is where is immediately
83876 DOMNICK HUNTER QR 010 REV.4.0 FILTER 30.09.2007 2 as is where is immediately
107440 Dressler VM 1000 A RF Match Spares 1 as is where is immediately
107444 Dressler VM 700 A RF Match Spares 1 as is where is immediately
110046 Dressler Cesar 1312 Generator SPARES 1 inquire
110047 Dressler Cesar 1312 Generator SPARES 1 inquire
110048 Dressler Cesar 1312 Generator SPARES 1 inquire
110049 Dressler Cesar 133 Generator SPARES 1 inquire
110050 Dressler Cesar 1330 Generator SPARES 1 inquire
110051 Dressler Cesar 136 Generator SPARES 1 inquire
110052 Dressler Cesar 136 Generator SPARES 1 inquire
110053 Dressler Cesar 136 Generator SPARES 1 inquire
110054 Dressler Cesar 136 Generator SPARES 1 inquire
110055 Dressler Cesar 136 Generator SPARES 1 inquire
110056 Dressler Cesar 136 Generator SPARES 1 inquire
110057 Dressler Cesar 2740 Generator SPARES 1 inquire
110058 Dressler Hilight Generator SPARES 1 inquire
110059 Dressler Hilight 133 Generator SPARES 1 inquire
110060 Dressler Hilight 133 Generator SPARES 1 inquire
110061 Dressler VM 1000 A Match SPARES 1 inquire
110062 Dressler VM 1000 A Match SPARES 1 inquire
110063 Dressler VM 1000 A Match SPARES 1 inquire
110064 Dressler VM 1000 A Match SPARES 1 inquire
110065 Dressler VM 1000 A Match SPARES 1 inquire
110066 Dressler VM 1000 A Match SPARES 1 inquire
110067 Dressler VM 1000 A Match SPARES 1 inquire
110068 Dressler VM 1000 A Match SPARES 1 inquire
110069 Dressler VM 1000 A Match SPARES 1 inquire
110070 Dressler VM 700 A Match SPARES 1 inquire
83568 Driver board 005843 Stepper motor driver board Spares 1 as is where is immediately
110071 Drytech 13.56Mhz Power Source Generator SPARES 1 inquire
105864 DWYER RMA-10-SSV AIR FLOWMETER,1/8 NPT CONN.,UP TO 100PSI Spares 1 as is where is immediately
79394 Ebara A30W Vacuum Pump Pump 1 as is where is immediately
79395 Ebara A30W Vacuum Pump Pump 1 as is where is immediately
108620 EBARA AA70WN Vacuum Pump PUMP 1 as is where is
108621 EBARA AA70W Vacuum Pump PUMP 3 as is where is
108622 EBARA A30W Vacuum Pump PUMP 2 as is where is
77147 Ebara Ebanet 1250 AD Communications module for dry pumps facilities 1 as is where is immediately
109172 Ebara FREX300 Tungsten Tungsten CMP 300mm 1 as is where is
109173 Ebara FREX300S Tungsten Tungsten CMP 300mm 1 as is where is
109174 Ebara FREX300S Tungsten Tungsten CMP 300mm 1 as is where is
108408 Ebara FREX300 Tungsten Tungsten CMP 300 mm 1 as is where is
108409 Ebara FREX300S Poly/STI Poly/STI CMP 300 mm 1 as is where is
108410 Ebara FREX300S Tungsten Tungsten CMP 300 mm 9 as is where is
108411 Ebara FREX300S2 Tungsten Tungsten CMP 300 mm 2 as is where is
98460 Ebara Frex 300 W CMP 300mm 1 as is where is immediately
98461 Ebara Frex 300 STI CMP ( missing front end robot and load port) 300mm 1 as is where is
70304 EBARA 30024-02 RING, ONE PIECE EBARA FREX 200 SPARES 1 as is where is immediately
70305 EBARA C-1120-008-0001 BALL SCREW, APROX 170 CM LENGTH, FOR EBARA FREX 200 SPARES 1 as is where is immediately
70306 Ebara FREX 200 (Spares) PRESSURE SENSITIVE VALVE FOR EBARA FREX 200 SPARES 2 as is where is immediately
110757 Ebara FREX 300 Tungsten CMP system 300 mm 2 as is where is immediately
106174 Ebara AAS70WN Dry pump 01.01.2004 8 as is where is immediately
110796 Ebara FREX 300 Tungsten CMP tool 300 mm 01.05.2005 2 as is where is immediately
106466 ECI QL-10-EX PLATING BATH ANALYSER Facilities 1 as is where is immediately
107007 ECO Snow VersaClean 1200 Mask / Substrate cleaner 150 mm 01.10.2012 1 as is where is immediately
80001 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80029 EDA Industries PCBA 05758 UBTS Diagnostic Board for BIB oven Reliability 1 as is where is immediately
80030 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80031 EDA Industries PCBA 05431 DRIVER module for BIB oven Reliability 6 as is where is immediately
80032 EDA Industries PCBA 07009 PTDM TO ART 200 EXTENDER CARD Reliability 1 as is where is immediately
80040 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80041 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven Reliability 1 as is where is immediately
80042 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 6 drivers Reliability 1 as is where is immediately
80045 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven with 6 drivers Reliability 1 as is where is immediately
80052 EDA Industries PCBA 05568 REV 1.3 Pattern test Driver module for BIB oven with 3 x PSU Reliability 1 as is where is immediately
80080 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver PCB for BIB oven Reliability 1 as is where is immediately
80081 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers Reliability 1 as is where is immediately
80082 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 3 x PSU Reliability 1 as is where is immediately
80084 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers Reliability 1 as is where is immediately
80033 EDA Industries ERNI 914796 RC BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated). Reliability 256 as is where is immediately
106200 EDAX Eagle 2 Micro-probe EDX analyser Laboratory 01.06.2000 1 as is where is immediately
82180 Edwards Flange Edwards pump flange 10 7/8" i.d. 11 3/8" O.D. 1 as is where is immediately
109572 EDWARDS iH1000 Mark 5 Dry vacuum Pump PUMP 50 as is where is immediately
109573 EDWARDS iH1800 Mark 5 Dry vacuum Pump PUMP 35 as is where is immediately
108812 EDWARDS E2M5 Mechanical Vacuum Pump PUMP 1 inquire
108813 EDWARDS E2M18 Mechanical Vacuum Pump PUMP 1 inquire
108814 EDWARDS iQDP80/QMB500 Dry Vacuum Pump/Blower Package, with Lower Enclosure PUMP 1 inquire
108564 Edwards EPX500NE Mechanical Vacuum Pump Pump 1 as is where is immediately
95559 Edwards iQDP40 Dry Mechanical Pump Pump 01.05.2000 1 as is where is immediately
108623 EDWARDS QMB500 Vacuum Pump PUMP 3 as is where is
108624 EDWARDS IPX100A Vacuum Pump PUMP 3 as is where is
108625 EDWARDS IPX100 Vacuum Pump PUMP 1 as is where is
108626 EDWARDS IH1800 Vacuum Pump PUMP 15 as is where is
108627 EDWARDS IH1000 Vacuum Pump PUMP 21 as is where is
108628 EDWARDS IGX100L Vacuum Pump PUMP 1 as is where is
108629 EDWARDS IGX1000N Vacuum Pump PUMP 1 as is where is
108630 EDWARDS EPXTWIN180L Vacuum Pump PUMP 3 as is where is
108631 EDWARDS EPX500LE Vacuum Pump PUMP 5 as is where is
108632 EDWARDS EPX180NE Vacuum Pump PUMP 3 as is where is
108633 EDWARDS EPX180LE Vacuum Pump PUMP 7 as is where is
108634 EDWARDS EPX180L Vacuum Pump PUMP 1 as is where is
108635 EDWARDS EPX 500NE Vacuum Pump PUMP 1 as is where is
83582 EDWARDS ETM63X PLASMA HEATING CONTROL UNIT 1 as is where is immediately
77209 Edwards Flange Edwards pump flange 5 1/8" OD 4" ID 1 as is where is immediately
106919 Edwards STP-A1303C TURBOMOLECULAR PUMP PUMP 01.06.2002 1 as is where is immediately
18865 EDWARDS A529-80-905 QMKII dry pumping system 2 as is where is
72127 Edwards Speedivalve SPEEDI VALVE Spares 1 as is where is immediately
72128 Edwards 040020030 Cable, motor drive, 5M seiko Spares 1 as is where is immediately
54217 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump 01.03.2007 3 as is where is immediately
54218 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump 01.03.2007 1 as is where is immediately
54219 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo pump 01.03.2007 1 as is where is immediately
54220 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo pump 31.05.2000 1 as is where is immediately
74445 Edwards iQDP 80 Dry vacuum pump PUMP 01.06.2000 1 as is where is
54221 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo pump 31.05.2000 1 as is where is immediately
74446 Edwards iQDP 80 Dry vacuum pump PUMP 01.06.2000 1 as is where is
54222 Edwards QDP80 Dry Vacuum Pump pump 31.05.2000 1 as is where is immediately
74447 Edwards iQDP 80 + QMB 500F Dry vacuum pump COMBO PUMP 01.06.2000 1 as is where is
74448 Edwards iQDP 80 + QMB 500F Dry vacuum pump combo PUMP 01.06.2000 1 as is where is
74449 Edwards iQDP 80 + QMB 500F Dry vacuum pump combo PUMP 01.06.2000 1 as is where is
74450 Edwards iQDP 80 + QMB250F Dry vacuum pump combo PUMP 01.06.2000 1 as is where is
106972 Edwards QDP80 Drystar Dry Vacuum pump with power box pump 01.06.1995 1 as is where is immediately
106973 Edwards QDP80 + QMB250F Dry Vacuum pump combo with power box pump 01.06.1995 1 as is where is immediately
106974 Edwards QDP40 + QMB250F Dry Vacuum pump combo with power box pump 01.06.1995 1 as is where is immediately
106975 Edwards QDP80 + QMB250F Dry Vacuum pump combo with power box pump 01.06.1995 1 as is where is immediately
11233 EDWARDS GVI 100P Gate valve SPARES 1 as is where is immediately
18921 EDWARDS 03-A344-02-881 Rotary vacuum pump E1M40, E1M80,E2M40,E2M80 1 as is where is
18922 EDWARDS 03-A301-51-883 Eh series mechanical booster pump and combination units 1 as is where is
18923 EDWARDS 03-A344-02-882 Rotary vacuum pump E1M40,E2M40,E2M80 and E2M40S, E2M80S superpumps 1 as is where is
69878 Edwards / Seiko Seiki STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40 VACUUM PUMP 01.10.1999 1 as is where is immediately
108041 EDWARDS. ETC. Vacuum Pumps Various Vacuum Pumps, Tubo Pumps, Controllers for sale Spares 1 as is where is immediately
79521 Efector 500 Pressure sensor switch, programmable 01.01.2001 1 as is where is immediately
15658 ELCO MMC50-1 POWER SUPPLY 1 as is where is immediately
83636 ELCO CO. LTD K50A-15 POWER SUPPLY 15V3.4A 3 as is where is immediately
83639 ELCO CO. LTD K SERIES POWER SUPPLY K100 A-12 0 as is where is immediately
78132 Electroglas Horizon 4085X Fully Automatic Prober with Optem microscope and an inker 125 mm, 150 mm and 200 mm 01.01.1998 1 as is where is immediately
91591 ELECTROGLAS EG5300 Prober 300 mm 1 as is where is
91592 ELECTROGLAS EG5/300 A Prober 300 mm 31.12.2004 1 as is where is immediately
91593 ELECTROGLAS EG5300 Prober 300 mm 1 as is where is
110072 Electrotech LF A48257 Match SPARES 1 inquire
110073 Electrotech LF A48257 Match SPARES 1 inquire
110074 Electrotech LF A48257 Match SPARES 1 inquire
99387 ELES ART 200 Debug Station for Reliability Test System RELIABILITY 1 as is where is immediately
79885 Elind 3232 Laboratory power supply 0-3,2A current adj - 0 - 32 V voltage adj Electronics Test and Measurement 1 as is where is immediately
79887 Elind 328 Laboratory power supply 0-0,8A current adj - 0 - 32 V voltage adj Lab Equipment 01.05.1995 1 as is where is immediately
79596 Elind KL 1200W Laboratory Power supply Electronics Test and Measurement 01.05.2000 8 as is where is immediately
108954 Empak PH9150 Wafer Transportation Box 60
110080 ENI ACG-10B Generator SPARES 1 inquire
110081 ENI ACG-10B Generator SPARES 1 inquire
110082 ENI ACG-10B Generator SPARES 1 inquire
110083 ENI ACG-10B Generator SPARES 1 inquire
110084 ENI ACG-10B Generator SPARES 1 inquire
110085 ENI ACG-10B Generator SPARES 1 inquire
110086 ENI ACG-10XL Generator SPARES 1 inquire
110087 ENI ACG-3 Generator SPARES 1 inquire
110088 ENI ACG-3 Generator SPARES 1 inquire
110089 ENI ACG-3 Generator SPARES 1 inquire
110090 ENI ACG-3 Generator SPARES 1 inquire
110091 ENI ACG-3 Generator SPARES 1 inquire
110092 ENI ACG-3 Generator SPARES 1 inquire
110093 ENI ACG-3 Generator SPARES 1 inquire
110094 ENI ACG-3B Generator SPARES 1 inquire
110095 ENI ACG-3B Generator SPARES 1 inquire
110096 ENI ACG-3B Generator SPARES 1 inquire
110097 ENI ACG-3B Generator SPARES 1 inquire
110098 ENI ACG-3DC Generator SPARES 1 inquire
110099 ENI ACG-3DC Generator SPARES 1 inquire
110100 ENI ACG-5 Generator SPARES 1 inquire
110101 ENI ACG-6 Generator SPARES 1 inquire
108566 ENI DCG-200Z-OPTIMA RF Generator SPARES 01.12.2005 6 as is where is 2 weeks
110102 ENI ACG-6 Generator SPARES 1 inquire
108567 ENI RFC-6-03 RF Generator SPARES 01.07.2006 1 as is where is immediately
110103 ENI DC Power Generator Generator SPARES 1 inquire
110104 ENI DCG 200 Generator SPARES 1 inquire
110105 ENI DCG 200 Generator SPARES 1 inquire
107546 ENI MWH-100 RF Match Spares 1 as is where is immediately
110106 ENI DOFBC2-078 Match SPARES 1 inquire
110107 ENI Dual Match 2.27Mhz Match SPARES 1 inquire
110108 ENI GHW-25 Generator SPARES 1 inquire
110109 ENI GHW-25 Generator SPARES 1 inquire
110110 ENI Harmonic Filter Miscellaneous SPARES 1 inquire
110111 ENI HPG-2 Generator SPARES 1 inquire
110112 ENI HPG-2 Generator SPARES 1 inquire
110113 ENI LPG-12A Generator SPARES 1 inquire
110114 ENI LPG-12A Generator SPARES 1 inquire
110115 ENI LPG-12A Generator SPARES 1 inquire
110116 ENI LPG-12A Generator SPARES 1 inquire
110117 ENI LPG-12A Generator SPARES 1 inquire
110118 ENI LPG-12A Generator SPARES 1 inquire
110119 ENI LPG-12A Generator SPARES 1 inquire
110120 ENI LPG-24 Generator SPARES 1 inquire
110121 ENI LPG-24 Generator SPARES 1 inquire
110122 ENI LPG-6 Generator SPARES 1 inquire
110123 ENI LPG-6A Generator SPARES 1 inquire
110124 ENI LPG-6A Generator SPARES 1 inquire
110125 ENI MW Controller Miscellaneous SPARES 1 inquire
110126 ENI MW Controller Miscellaneous SPARES 1 inquire
110127 ENI MW Controller Miscellaneous SPARES 1 inquire
110128 ENI MW Controller Miscellaneous SPARES 1 inquire
110129 ENI MW Controller Miscellaneous SPARES 1 inquire
110130 ENI MW Controller Miscellaneous SPARES 1 inquire
110131 ENI MW Controller Miscellaneous SPARES 1 inquire
110132 ENI MW Controller Miscellaneous SPARES 1 inquire
110133 ENI MW Controller Miscellaneous SPARES 1 inquire
110134 ENI MW Controller Miscellaneous SPARES 1 inquire
110135 ENI MW-10 Match SPARES 1 inquire
110136 ENI MW-10 Match SPARES 1 inquire
110137 ENI MW-10 Match SPARES 1 inquire
110138 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110139 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
107580 ENI OEM-12A XL RF Generator Spares 1 as is where is immediately
110140 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110141 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110142 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110143 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110144 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110145 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110146 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110147 ENI MW-10D Match SPARES 1 inquire
110148 ENI MW-10D Match SPARES 1 inquire
110149 ENI MW-10D Controller Miscellaneous SPARES 1 inquire
110150 ENI MW-10D Controller Miscellaneous SPARES 1 inquire
110151 ENI MW-10T Match SPARES 1 inquire
110152 ENI MW-5 Match SPARES 1 inquire
110153 ENI MW-5 Match SPARES 1 inquire
110154 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110155 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110156 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110157 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110158 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110159 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110160 ENI MW-50W Match SPARES 1 inquire
110161 ENI MW-5D Match SPARES 1 inquire
110162 ENI MW-5D Match SPARES 1 inquire
110163 ENI MW-5D Match SPARES 1 inquire
110164 ENI MW-5D Match SPARES 1 inquire
110165 ENI MW-5D Match SPARES 1 inquire
110166 ENI MW-5D Match SPARES 1 inquire
110167 ENI MW-5D Match SPARES 1 inquire
110168 ENI MW-5D Match SPARES 1 inquire
110169 ENI MW-5D Match SPARES 1 inquire
110170 ENI MW-5D Match SPARES 1 inquire
110171 ENI MW-5D Match SPARES 1 inquire
110172 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110173 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110174 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110175 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110176 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110177 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110178 ENI MWD-25 Match SPARES 1 inquire
110179 ENI MWD-25LD Match SPARES 1 inquire
110180 ENI MWD-25LD Match SPARES 1 inquire
110181 ENI MWH-100 Match SPARES 1 inquire
110182 ENI MWH-100 Match SPARES 1 inquire
110183 ENI MWH-100 Match SPARES 1 inquire
110184 ENI MWH-100 Match SPARES 1 inquire
109417 ENI DCG-200Z RF GENERATOR Spares 5 as is where is
110185 ENI MWH-100 Match SPARES 1 inquire
109418 ENI DCG-200Z RF GENERATOR Spares 1 as is where is
110186 ENI MWH-100 Match SPARES 1 inquire
109419 ENI DCG-200Z RF GENERATOR Spares 6 as is where is
110187 ENI MWH-25 Match SPARES 1 inquire
109420 ENI DCG-200Z RF GENERATOR Spares 3 as is where is
110188 ENI MWH-25 Match SPARES 1 inquire
109421 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 1 as is where is
110189 ENI MWH-25 Match SPARES 1 inquire
109422 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 8 as is where is
110190 ENI MWH-5 Match SPARES 1 inquire
109423 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 1 as is where is
110191 ENI MWH-5 Controller Miscellaneous SPARES 1 inquire
109424 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 2 as is where is
110192 ENI MWH-5 Controller Miscellaneous SPARES 1 inquire
109425 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 4 as is where is
110193 ENI MWM-25-02 Match SPARES 1 inquire
109426 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 3 as is where is
110194 ENI MWM-25-02 Match SPARES 1 inquire
109427 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 4 as is where is
110195 ENI MWM-25-02 Match SPARES 1 inquire
109428 ENI DCG-200Z-S00 RF GENERATOR Spares 1 as is where is
110196 ENI OEM-10B Generator SPARES 1 inquire
109429 ENI DOFBC2-078 RF GENERATOR Spares 1 as is where is
110197 ENI OEM-12 Generator SPARES 1 inquire
109430 ENI MWH-100 RF GENERATOR Spares 1 as is where is
110198 ENI OEM-12 Generator SPARES 1 inquire
109431 ENI MWH-100 RF GENERATOR Spares 1 as is where is
110199 ENI OEM-12 Generator SPARES 1 inquire
109432 ENI OEM-12B RF GENERATOR Spares 3 as is where is immediately
110200 ENI OEM-12 Generator SPARES 1 inquire
109433 ENI SPECTRUM 11002-00 RF GENERATOR Spares 1 as is where is
110201 ENI OEM-1250 Generator SPARES 1 inquire
107642 ENI POD Interface Box Miscellaneous Spares 1 as is where is immediately
110202 ENI OEM-1250 Generator SPARES 1 inquire
110203 ENI OEM-1250 Generator SPARES 1 inquire
110204 ENI OEM-1250 Generator SPARES 1 inquire
110205 ENI OEM-1250 Generator SPARES 1 inquire
110206 ENI OEM-1250 Generator SPARES 1 inquire
107647 ENI RFC-5MW Miscellaneous Spares 1 as is where is immediately
110207 ENI OEM-12A Generator SPARES 1 inquire
110208 ENI OEM-12A Generator SPARES 1 inquire
110209 ENI OEM-12A Generator SPARES 1 inquire
110210 ENI OEM-12A Generator SPARES 1 inquire
110211 ENI OEM-12A Generator SPARES 1 inquire
110212 ENI OEM-12A Generator SPARES 1 inquire
110213 ENI OEM-12A Generator SPARES 1 inquire
110214 ENI OEM-12A Generator SPARES 1 inquire
110215 ENI OEM-12A Generator SPARES 1 inquire
110216 ENI OEM-12A Generator SPARES 1 inquire
110217 ENI OEM-12A Generator SPARES 1 inquire
110218 ENI OEM-12A XL Generator SPARES 1 inquire
110219 ENI OEM-12A XL Generator SPARES 1 inquire
110220 ENI OEM-2000 Generator SPARES 1 inquire
110221 ENI OEM-6 Generator SPARES 1 inquire
110222 ENI OEM-6 Generator SPARES 1 inquire
110223 ENI OEM-6 Generator SPARES 1 inquire
110224 ENI OEM-6 Generator SPARES 1 inquire
110225 ENI OEM-6 Generator SPARES 1 inquire
110226 ENI OEM-6 Generator SPARES 1 inquire
110227 ENI OEM-6 Generator SPARES 1 inquire
110228 ENI OEM-6 Generator SPARES 1 inquire
110229 ENI OEM-6 Generator SPARES 1 inquire
110230 ENI OEM-6 Generator SPARES 1 inquire
110231 ENI OEM-6 Generator SPARES 1 inquire
110232 ENI OEM-6 Generator SPARES 1 inquire
110233 ENI OEM-6 Generator SPARES 1 inquire
110234 ENI OEM-650A Generator SPARES 1 inquire
110235 ENI OEM-650A Generator SPARES 1 inquire
110236 ENI OEM-650A Generator SPARES 1 inquire
110237 ENI OEM-650A Generator SPARES 1 inquire
110238 ENI OEM-650A Generator SPARES 1 inquire
110239 ENI OEM-650A Generator SPARES 1 inquire
110240 ENI OEM-650A Generator SPARES 1 inquire
110241 ENI OEM-650A Generator SPARES 1 inquire
110242 ENI OEM-650A Generator SPARES 1 inquire
110243 ENI OEM-650A Generator SPARES 1 inquire
110244 ENI OEM-650A Generator SPARES 1 inquire
110245 ENI OEM-650A Generator SPARES 1 inquire
110246 ENI OEM-650A Generator SPARES 1 inquire
110247 ENI OEM-650A Generator SPARES 1 inquire
110248 ENI OEM-650A Generator SPARES 1 inquire
83625 ENI HF-3000-50 HARMONIC FILTER ASSY 28.02.1994 1 as is where is immediately
110249 ENI OEM-650A Generator SPARES 1 inquire
110250 ENI OEM-650A XL Generator SPARES 1 inquire
110251 ENI OEM-650A XL Generator SPARES 1 inquire
110252 ENI OEM-650A XL Generator SPARES 1 inquire
110253 ENI OEM-650A XL Generator SPARES 1 inquire
110254 ENI OEM-650A XL Generator SPARES 1 inquire
110255 ENI OEM-650A XL Generator SPARES 1 inquire
110256 ENI OEM-6B Generator SPARES 1 inquire
110257 ENI OEM-6B Generator SPARES 1 inquire
110258 ENI OEM-6L Generator SPARES 1 inquire
110259 ENI OEM-6M Generator SPARES 1 inquire
110260 ENI PL2-HF Generator SPARES 1 inquire
110261 ENI PL2-HF Generator SPARES 1 inquire
110262 ENI PL2-HF Generator SPARES 1 inquire
110263 ENI PL2-HF Generator SPARES 1 inquire
110264 ENI PL2-HF Generator SPARES 1 inquire
110265 ENI PL2-HF Generator SPARES 1 inquire
110266 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110267 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110268 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110269 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110270 ENI POD Interface Box Miscellaneous SPARES 1 inquire
106175 ENI OEM-2000-01M1 RF GENERATOR SPARES 1 as is where is immediately
110271 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110272 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110273 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110274 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110275 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110276 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110277 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110278 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110279 ENI POD Interface Box Miscellaneous SPARES 1 inquire
110280 ENI RFC4T Match SPARES 1 inquire
110281 ENI RFC-5 Controller Miscellaneous SPARES 1 inquire
107466 ENI ACG-3B RF Generator Spares 1 as is where is immediately
110282 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110283 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110284 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110285 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110286 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110287 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110288 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110289 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110290 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110291 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110292 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110293 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110294 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110295 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110296 ENI Spectrum 5K 2mhz Generator SPARES 1 inquire
110297 ENI UTF-10 Miscellaneous SPARES 1 inquire
110298 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110299 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110300 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110301 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110302 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110303 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110304 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110305 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110306 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110307 ENI Voltage Probe Miscellaneous SPARES 1 inquire
110308 ENI Voltage Probe Miscellaneous SPARES 1 inquire
110309 ENI Voltage Probe Miscellaneous SPARES 1 inquire
80368 ENI OEM-6J RF GENERATOR SPARES 31.05.1995 1 as is where is immediately
110075 ENI ACG-10 Generator SPARES 1 inquire
110076 ENI ACG-10 Generator SPARES 1 inquire
110077 ENI ACG-10 Generator SPARES 1 inquire
110078 ENI ACG-10B Generator SPARES 1 inquire
110079 ENI ACG-10B Generator SPARES 1 inquire
77091 Enidine MB21539 Shock Absorber Spares 1 as is where is immediately
83513 Entegris RSPX-EUV-036 Reticle Direct Purge Cabinet Facilities 01.07.2010 1 as is where is immediately
108955 entegris PH9150 Wafer Transportation Box 6" 7
108957 entegris Box 2" Wafer Transportation Box 2" 330
108958 entegris A72-40MB-0215 Teflon Carrier 4" 97
108959 entegris PH9100 Wafer Transportation Box 4" 55
106467 EO Technic CSM-2000 CHIP SCALE LASER MARKER 150 mm/200 mm 01.06.2002 1 as is where is immediately
108064 EO Technics EO 9702 Laser marking 1 as is where is
108641 EO TECHNICS CSM 2000 Chip scale laser wafer marker 150 mm/200 mm 01.06.2006 1 as is where is immediately
98462 EO TECHNICS CSM 3000 Chip Scale laser marker 200 mm/300 mm 30.06.2005 1 as is where is immediately
106469 EO Technics CSM2000 CHIP SCALE LASER MARKER 150 mm/200 mm 1 as is where is immediately
106470 EO TECHNICS CSM2000 CHIP SCALE LASER MARKER 200 mm 01.06.2005 1 as is where is immediately
106655 EO TECHNOLOGY FOR GLASS PANEL Laser Marker for Glass 200 mm 01.06.2006 1 as is where is
84090 ERACOND X3 MINIATURE AIR CYLINDER 1 as is where is immediately
84080 ERACOND Z3 M20 PD 50 MINIATUR AIR CYLINDER 1 as is where is immediately
82286 ESA ET5200LX2000 Touch Screen Computer spares 01.10.2007 1 as is where is immediately
108815 ESEC CT-2000 Automatic Flip Chip Die Attacher, 3ea Available ASSEMBLY 1 inquire
100704 ESEC 3018 Gold Ball Bonder Assembly 1 as is where is immediately
100705 ESEC 3088 Gold Ball Bonder Assembly 1 as is where is immediately
110778 ESEC 2008-XP Die Bonder Assembly 01.06.2004 1
110779 ESEC 2006HR Die Bonder, with Eutectic bonding capability Assembly 1 inquire immediately
108749 ESEC BU-050-N Parts Unloader for Micron 2 Automatic Die Attacher 1 inquire
108750 ESEC BL-050-N Parts Loader for Micron 2 Automatic Die Attacher 1 inquire
83739 ESI 44 COMPLETE SET OF LASER TRIMMER SPARE PCBS AND PARTS SPARES 27 as is where is immediately
83796 ESI 29286 ESI pcb Servo Preamp Spares 1 as is where is immediately
83797 ESI 29282 ESI pcb Transducer Preamp Spares 1 as is where is immediately
83798 ESI 43175 4 phase encoder logic assy Spares 1 as is where is immediately
83799 ESI 929284 MAX Velocity control board Spares 1 as is where is immediately
83801 ESI 40898 ESI pcb Interrupt control pcb Spares 1 as is where is immediately
83802 ESI 42328 ESI pcb Precharged Servo Preamp Spares 1 as is where is immediately
83803 ESI 29278 ESI pcb Power Amplifier driver for ESI 44 fuser Spares 1 as is where is immediately
83804 ESI 29800 Driver power supply 1 as is where is immediately
83813 ESI 41751 Transducer Buffer PCB for ESI model 44 laser fuser Spares 2 as is where is immediately
83814 ESI 42251 Approach Control PCB for ESI 44 Spares 1 as is where is immediately
83815 ESI 29292 ESI pcb Position Encoder Logic for ESI 44 fuser Spares 1 as is where is immediately
83816 ESI 42119 ESI pcb 4 Phase Control Module 1 as is where is immediately
83817 ESI 42253 ESI pcb Power Amplifier driver for ESI 44 fuser Spares 1 as is where is immediately
83818 ESI 42252 ESI pcb Acceleration Control Assy for ESI 44 fuser Spares 1 as is where is immediately
83820 ESI 24971 Manual Functions PC Board FOR ESI MODEL 44 LASER TRIMMER Spares 1 as is where is immediately
83822 ESI 41207 IEEE 488 Interface FOR ESI 44 LASER TRIMMER SPARES 1 as is where is immediately
83857 ESI 48503 Linear motor/Laser Control PC Board FROM ESI MODEL 44 TRIMMER Spares 2 as is where is immediately
83858 ESI 24961 Extender Board PC Board w/ cables FOR ESI 44 LASER TRIMMER Spares 2 as is where is immediately
83859 ESI 42356 S&R Interface PC Board FOR ESI MODEL 44 LASER TRIMMER Spares 1 as is where is immediately
83860 ESI 24955 Scanner Module PC Board for ESI 44 fuser spares 3 as is where is immediately
36507 ESI M9275 LASER REPAIR SYSTEM 01.12.1998 1 inquire
106656 ESI HD 9830 Laser Repair 200 mm,300 mm 01.06.2006 1 as is where is
106657 ESI HD 9830 Laser Repair 200 mm,300 mm 01.06.2006 1 as is where is
106658 ESI HD 9830 Laser Repair 200 mm,300 mm 01.06.2006 1 as is where is
106659 ESI HDE 9830 Laser Repair 200 mm,300 mm 01.06.2006 1 as is where is
110755 ESI HD9830 Laser Fuser 3 as is where is immediately
106660 ESI HDE 9830 Laser Repair 200 mm,300 mm 01.06.2007 1 as is where is
110758 ESI 9350 Laser Repair System 200 mm 01.06.2005 1 as is where is immediately
83936 ESI 41506 Bridge Calibrator PCB for ESI 44 LASER TRIMMER Spares 1 as is where is immediately
84210 ESI Power Assy Power supply assy, ESI 44/4400 1 as is where is immediately
106899 ESI ELECTRO SCIENTIFIC INDUSTRIES 146900-01 REV A Lower Pneumatic Control Box for ESI 9830 spares 01.09.2007 1 as is where is 2 weeks
110756 ESI ELECTRO SCIENTIFIC INDUSTRIES 9825 Laser Repair System 300 mm and 200 mm 01.10.2003 10 as is where is immediately
108065 ESPEC STPH-101 OVEN OVEN 1 as is where is
108066 ESPEC TSB 51 Shock Chamber RELIABILITY 1 as is where is
79520 Eurotherm 2408f Temperature controller 01.01.2001 3 as is where is immediately
109175 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition) 200mm 1 as is where is
109176 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition) 200mm 1 as is where is
108412 Evatech/Balzers BAK SPLIT PVD (Physical Vapor Deposition) 5 as is where is
109581 EVG 101 Photoresist coater and developer 200 mm 01.05.2008 1 as is where is immediately
108816 EVG Smart View Wafer Aligner - Parts Only 1 inquire
109588 EVG 620 Mask Aligner (TSA) 150 mm 1 inquire
109593 EVG Gemini Automated Production Wafer Bonder 300 mm 1 inquire
109594 EVG 620 Mask Aligner (TSA) 150 mm 1 inquire
109596 EVG 101 Photoresist Spray Coater 150 mm 1 inquire
109610 EVG 501 Wafer Bonder 200 mm 1 inquire
109611 EVG 520 Wafer Bonder 150 mm 1 inquire
110746 EVG Gemini Wafer Bonding system - permanent bonding system 150 mm 01.06.2015 5 as is where is immediately
101819 EVG 820 Mold Carrier Lamination System 200mm 1 inquire
103140 EVG 520 Manual Wafer Load Substrate Bonder 150 mm 01.05.2003 1 as is where is 2 months
83515 Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer Facilities 31.05.2004 1 as is where is immediately
108748 FAITH TECHNOLOGY RapiTran 2 Wafer Transfer Station 150 mm 1 as is where is immediately
110678 FEI Helios 1200+ Dual beam FIB SEM 300 mm 01.06.2011 1 as is where is immediately
108932 FEI ExSolve CLM Next Gen High Accuracy FIB SEM 300 mm 01.06.2016 2 as is where is immediately
108026 FEI CLM 3D Fully Automated Dual Beam FIB-SEM 300 mm 01.04.2012 1 as is where is immediately
110602 FEI Company XL820 Dualbeam FIB 16.06.2001 1 as is where is
106009 FEI Company FIB 200 Single Beam FIB Laboratory 01.06.2003 1 as is where is immediately
110704 FEI Company 820 Dual beam FIB SEM Laboratory 1 as is where is immediately
110310 Fenwal Sealer Sealing SPARES 1 inquire
110311 Fenwal Sealer Sealing SPARES 1 inquire
110312 Fenwal Sealer Sealing SPARES 1 inquire
110313 Fenwal Sealer Sealing SPARES 1 inquire
80169 Festo 150857 SME-8-S-LED-24 Proximity switch 01.12.2012 1 as is where is immediately
108067 FICO SM Injection mold press ASSEMBLY 2 as is where is
108276 FICO AMS24 AUTO MOLDING SYSTEM ASSEMBLY 01.06.1995 1 as is where is immediately
106894 FINETECH GmbH Fineplacer 96 Manual FlipChip Bonder 1 as is where is immediately
108710 Fogale DeepProbe 300M Low Coherence IR wafer interferometry 200 mm and 300 mm 01.06.2014 1 inquire
108817 FOUR DIMENSIONS CV92A Semi Auomatic Mercury Probe CV Plotter up to 200 mm 01.06.1998 1 inquire immediately
110723 Four Dimensions CVMAP 3092-A Wafer CV mapper 100-200 MM 01.06.2005 1 as is where is immediately
86277 FSI Polaris 3500 (Spares) staubli rx 90 robot control unit 308998-001 RX 90 CONTR.1 spares 01.09.2000 1 as is where is immediately
110622 FSI ExcaliburISR HF Vapor Cleaning 200 mm 1 as is where is
106015 FSI Polaris 3500 (Spares) POWER SUPPLY, CONVERSION EQUIPMENT CORP. M/N 05D-0189-01 Spares 1 as is where is immediately
83516 FSI Polaris 3500 (Spares) Spares for DUV photoresist coater / developer track spares 01.05.2000 1 as is where is immediately
95574 FSI Polaris 3500 (Spares) 294157-200 REV C PCB, MODULE DRIP AND GUARD INPUTS Spares 1 as is where is immediately
95575 FSI Polaris 3500 (Spares) IKEGAMI PM-930A PICURE MONITOR Spares 1 as is where is immediately
95576 FSI Polaris 3500 (Spares) VT106 10 INCH VGA MONOCHROME MONITOR Spares 2 as is where is immediately
95577 FSI Polaris 3500 (Spares) IBM 8228 P/N 6091014 Multistation Access Unit Spares 2 as is where is immediately
95578 FSI Polaris 3500 (Spares) Proteon P7228 rev h PROTEON PRONET P7228 8 PORT Spares 2 as is where is immediately
95579 FSI Polaris 3500 (Spares) Patlite SL-V Signal Tower, 2 color, Amber, Red Spares 2 as is where is immediately
95580 FSI Polaris 3500 (Spares) Power box for 200 mm note track Spares 1 as is where is immediately
95581 FSI Polaris 3500 (Spares) PLAST-O-MATIC PRD075B-PP VALVE Spares 2 as is where is immediately
95582 FSI Polaris 3500 (Spares) PCB, FSI A/N 294084-200C Spares 1 as is where is immediately
95583 FSI Polaris 3500 (Spares) DIGI ACCELPORT PCB 55000534 REV F Spares 1 as is where is immediately
95584 FSI Polaris 3500 (Spares) PCB, FSI 200-0097 REV B MVS 8100 FRAME GRABBER Spares 1 as is where is immediately
95585 FSI Polaris 3500 (Spares) PCB, FSI 61-0061-10 EXP-BP5 Spares 1 as is where is immediately
95586 FSI Polaris 3500 (Spares) PCB, FSI 33000-472, VME MONOLITHIC 12 SLOT Spares 1 as is where is immediately
95587 FSI Polaris 3500 (Spares) PCB, FSI 10444-01AA Spares 31.05.1999 1 as is where is immediately
95588 FSI Polaris 3500 (Spares) PCB, FSI SP 586T (00-065-0015 REV D) SINGLE BOARD COMPUTER Spares 01.05.1999 1 as is where is immediately
95591 FSI Polaris 3500 (Spares) Power Supply, Densei-Lambda Alpha 250S Spares 31.05.1999 1 as is where is immediately
95592 FSI Polaris 3500 (Spares) GAS SENSOR, FSI P/N 841-004-i Spares 1 as is where is immediately
95593 FSI Polaris 3500 (Spares) ATEN CS-122 CPU SWITCH FOR PS/2 PC/AT Spares 1 as is where is immediately
95595 FSI Polaris 3500 (Spares) PLATE ASSEMBLY Spares 31.08.1995 1 as is where is immediately
95596 FSI Polaris 3500 (Spares) PLASTIC COVER Spares 1 as is where is immediately
95602 FSI Polaris 3500 (Spares) FSI P/N 05D-0167-03A1 POWER SUPPLY, DC BATTERY BACKUP 05D016703A1 Spares 1 as is where is immediately
95603 FSI Polaris 3500 (Spares) FSI P/N 917410-002C POWER BOX WITH BOARD CT 294159-200C Spares 31.01.2000 1 as is where is immediately
95604 FSI Polaris 3500 (Spares) FSI P/N 917803-001B DWR-DSP CABLE, 25 CM Spares 1 as is where is immediately
95605 FSI Polaris 3500 (Spares) FSI P/N IB1-7000T 2 FEET CABLE 0795 Spares 1 as is where is immediately
95606 FSI Polaris 3500 (Spares) FSI P/N IB1-7000T , CABLE 2 FEET 0795 Spares 30.06.1995 1 as is where is immediately
95607 FSI Polaris 3500 (Spares) FSI P/N POWER CABLE, LENGTH 135 CM Spares 1 as is where is immediately
95608 FSI Polaris 3500 (Spares) FSI P/N 8454-AWM-4097-MT BELDEN CABLE, LENGHT 34 CM Spares 1 as is where is immediately
106873 FSI Zeta 300 G3 Wafer Acid Spray Cleaner, Hot SPM Process 300 mm 01.01.2012 1 as is where is immediately
109177 FSI Zeta 300 G3 Batch Wafer Processing 300mm 1 as is where is
105877 FSI Mercury (Spare Parts) Brand New Chemical Canister, HF Coded Spares 1 as is where is immediately
108950 FSI Mercury (Spare Parts) 586 CPU Board for an FSi Mercury Spares 1 inquire immediately
105880 FSI Mercury (Spare Parts) Used Chemical Canister, HCl Coded Spares 1 as is where is immediately
18849 FSI 906498-100 FSI CHEM FILL Dec scotland system manual and print 1 as is where is
18850 FSI 905403-001 Print and Product Structures (Poly) Mercury MP 1 as is where is
18851 FSI 907575-100 Mercury MP spray processing system operation and maintenance manual manual 01.05.1994 1 as is where is immediately
18852 FSI 501666-000 Operations and maintenance Excalibur ISR 2 as is where is
18853 FSI 500539-001 Saturn MP comprehensive technical package 2 as is where is
18854 FSI 906496-100 Bit bus node installation system requirement and guidelines 1 as is where is
18855 FSI 906487 Chemblend 350 operation and maintenance manual 2 as is where is
18856 FSI 905521 Model 1000 CDM operations and maintenance manual 1 as is where is
18857 FSI 501442-058 Booster pump operations and maintenance 1 as is where is
18858 FSI 504099-001 Motorola mos 16 PLC expansion project 2 as is where is
18859 FSI 912631 Excalibur ISR and EOS systems operations and maintenance manual 2 as is where is
18860 FSI 903943-200 Heated recirculations operations and maintenance manual 1 as is where is
27820 FSI Excalibur ISR & EOS Manual 1
18861 FSI 906488-100 Model 1000 CDM operations and maintenance manual 1 as is where is
27821 FSI Proteus 11 Manual 1
108717 FSI Polaris 3500 Mini Photolithography Track without coaters and developers 300 MM / 200 mm 01.06.2004 1 as is where is immediately
18862 FSI 916197-100 Model 1000 CDM ( ce-marked with PPS ) operations and maintenance manual 1 as is where is
27822 FSI Chemfill systems Manual manual 1
18863 FSI 903893-200 Helios 52 di water meter operation and maintenance manual 2 as is where is
27823 FSI Excalibur Manual 1
27824 FSI SATURN & TITAN Manuals 1
27825 FSI Mercury Manual 1
27826 FSI Mercury MP Operation and Maintenance Manual Manual 1 as is where is immediately
27827 FSI Excalibur ISR Manual 2
27828 FSI 912629 Manual 1
27829 FSI 904602-200 Manual 1
27830 FSI 906496-100 Manual 1
27835 FSI Excalibur Manual 905364-200 1
27836 FSI Excalibur ISR Manual Prints & Product Structures 1
27837 FSI Booster Pump Manual 1
27838 FSI Saturn MP Manual 500539-001 1
27839 FSI Saturn MP Manual 500539-004 3
27840 FSI Booster Pump Manual 1 as is where is immediately
27841 FSI Proteus 1 Manual 1
27842 FSI Saturn OC Manuals 2
27871 FSI ISR Maual In Situ Rinse Prints & Parts Structures Manual 1
27872 FSI Excalibur Vapour Phase Processing system Supplementary training manual 1
27873 FSI PLC Expansion PLC expansion Project Manual 1
27874 FSI 907051-100 Excalibur ISR Operation and Maintenance Manual 1
27875 FSI HELIOS 52 Prints & Products structures Manual 1
27876 FSI Excalibur 200mm Supplier Manual 2
27877 FSI 500539-004 Parts & Structures Manual 1
27878 FSI Saturn Manual General 1
27879 FSI Mercury MP Spray Processing system Operation & Maintenance Manual Manual 1 as is where is immediately
27880 FSI Chemfill systems Model 1000 CDM/ PPS Manual Rev D manual 2 as is where is immediately
27881 FSI Chemfill systems - Manual Model 1000-4 PLC CDM Supplementary Training Package Manual 1 as is where is immediately
109553 FSI Zeta 300 G3 Wafer Acid Spray Cleaner, Hot SPM Process 300 mm 01.04.2007 1 as is where is immediately
75296 FSI * Mercury MP * Acid Spray Process System 200 mm 1 inquire 1 month
93084 FSM SYMPHONYMC Life Time 300 MM 1 as is where is
83616 FSP GROUP INC 100-240 W POWER SUPPLY for a p.c. 10A 50-60 Hz 01.01.2008 10 as is where is immediately
83884 FUJI CP32 CIRCUIT PROTECTOR 5 as is where is immediately
84260 FUJI SEIKI & SILENT FA-1612HCB-C FA.FWM. 1
103814 Fujikin FBSDV-6.35-2B3-BGC VALVE BLOCK DIAPH 1/4VCR-F/F/F NC/NC VIM VAR 5RA Spares 01.05.2005 1 as is where is immediately
83544 Fujitsu Denso FDS26-29 750W 26V29A Power supply FOR ADVANTEST T5335P TESTER Spares 01.02.1996 2 as is where is immediately
83549 Fujitsu Denso FDS11+11 750W 34A Power supply FOR ADVANTEST T5335P TESTER Spares 01.12.1995 1 as is where is immediately
106475 Furukawa UVW-102M FURUKAWA UV-102 SEMI AUTO UV CURE SYSTEM N/A 1 as is where is
109178 Future Fab Future Fab Solvent Hood Fume Hood Workstation 200mm 1 as is where is
83903 GACHOT DN 15-10 STAINLSS STEEL BALL VALVE Spares 2 as is where is immediately
96543 Gaertner L115C-8 Ellipsometer, cassette to cassette 100-200 mm 28.02.1995 1 as is where is immediately
109434 GAIN G50H13P RF GENERATOR Spares 1 as is where is
109435 GAIN M50H13P RF GENERATOR Spares 1 as is where is
84075 Gasonics DTC2280 ISA IDE control board floppy HDD 1 as is where is immediately
91348 Gasonics IRIDIA 4800 DL Stripper / Asher 31.05.1998 1 as is where is
91349 Gasonics IRIDIA 4800 DL Stripper / Asher 1 as is where is
91351 Gasonics IRIDIA 4800 DL Stripper / Asher 31.05.1998 1 as is where is
110314 GASONICS Gasonics IPC Match Match SPARES 1 inquire
110315 GASONICS Gasonics IPC Match Match SPARES 1 inquire
110316 GASONICS Gasonics Match Match SPARES 1 inquire
83920 GAZEL MG.FE 14 SUPERCLEAN 14 as is where is immediately
83921 GAZEL MG.FC 14 GSI 6 as is where is immediately
83922 GAZEL MG.FE 38 SUPERCLEAN 4 as is where is immediately
83923 GAZEL EMC 38 AB GSI 9 as is where is immediately
83924 GAZEL ECF 14 SUPERCLEAN 6 as is where is immediately
83925 GAZEL ECM 14 SUPERCLEAN-GSI 6 as is where is immediately
83926 GAZEL ECF 12 SUPERCLEAN 5 as is where is immediately
83927 GAZEL ECM 12 GSI 1 as is where is immediately
108751 GCA TROPEL 9000 Wafer Flatness Analyzer 1 as is where is
91138 GEMINI GEMINI III E EPI Reactor Batch 150 mm 1 as is where is immediately
110317 Gencal GenCal Power Meter Miscellaneous SPARES 1 inquire
110318 General Electric Dummy Load Miscellaneous SPARES 1 inquire
94596 Genesys DC Power Supplies SPARES 1 as is where is
94597 Genesys DC Power Supplies SPARES 1 as is where is
107016 Genmark Various genmark robots and Edwards turbo pumps Mixed lot of Edwards Turbo pumps, Genmark Robots and controllers and a JEL robot Spares 01.06.2013 9 as is where is immediately
108818 GENMARK S08R Robot Controllers, PN 990010622, 2ea Available SPARES 1 inquire
98463 Genmark GB4/3L Atmospheric wafer handling robot with controller 200 mm 1 as is where is immediately
98464 Genmark GB8-MT-80050102 Single arm Atmospheric wafer handling robot 200mm 1 as is where is immediately
101042 Genmark AVR series Cleanroom Vacuum Robot only Spares 1 as is where is immediately
106945 Genmark GB3 Wafer handling Robot Robot 1 as is all rebuilt immediately
110782 Giant Force GPO-270-B Burn-In Oven Reliability 01.09.2022 1 as is where is immediately
110783 Giant Force GTH-150-40-CP-SD Temperature and Humidity Chamber Reliability 01.02.2023 1 as is where is immediately
106855 Gigaphoton A700GK-1 248 nm (KrF) excimer laser Facilities 01.10.2007 1 as is where is immediately
111342 Gigaphoton G21K2-1 KrF excimer laser 248 nm Facilities 01.11.2003 1 as is where is immediately
76735 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76736 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76737 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76738 GL Automation IDSCOPE Wafer bar code reader 200 mm 30.06.2004 1 as is where is immediately
76739 GL Automation IDSCOPE Wafer bar code reader 200 mm 31.08.2004 1 as is where is immediately
110319 Glassman High Voltage, Inc PS/FL1.5F1.0 Generator SPARES 1 inquire
79892 Gossen Konstanter IEC625 Laboratory Power supply Gossen Konstanter UOP Electronics Test and Measurement 1 as is where is immediately
106476 GPD PBFT856VS Pull Force Tester 150 mm/200 mm 01.06.2000 1 as is where is immediately
94601 Group 3 1981001 Digital Teslameter SPARES 1 as is where is
83800 GSC P140-74 Gleichstrom Servo Motor 1 as is where is immediately
108158 GSI CSP200 Wafer Level Die Marking System 200 mm 1 as is where is
111367 HAMAMATSU L8488-01 LC5 Lightning Cure 1 as is where is immediately
71907 Hamamatsu C7103 PC Controlled IC Back-side Lapping and Wafer Grinding System 200 mm and packages 30.09.2001 1 as is where is immediately
84413 HAMPTON CYCLETROL 240 CIRCUIT BREAKER 1 as is where is immediately
110702 Heidelberg DWL-200 Laser Direct-Write Lithography System Up to 200 mm 01.06.2007 1 as is where is immediately
110320 Henry Henry 2k Controller Miscellaneous SPARES 1 inquire
110321 Henry Henry 2K Controller Miscellaneous SPARES 1 inquire
110596 Hesse & Knipps BJ 820 Magazine to magazine transport system for wirebonder Assembly 01.05.2013 1 as is where is immediately
109282 Hesse & Knipps BJ855 and BJ820 Wedge Bonders , magazine to Magazine Assembly 01.06.2019 26 as is where is immediately
111376 Hewlett Packard HP3455A Digital Voltometer 1 as is where is immediately
111377 Hewlett Packard HP54542A Oscilloscope 1 as is where is immediately
110776 Highmax SHM-150 Wafer mounter 1 as is where is immediately
110777 Highmax UV-200 Curing Oven 8" 1 as is where is immediately
106479 Highmax UV-200 Curing System 150 mm/200 mm 1 as is where is
109568 Hitachi CG-4100 Critical Dimension (CD) Measurement SEM 300 mm 01.06.2012 1 as is where is immediately
109569 Hitachi CG-4000 Critical Dimension (CD) Measurement SEM 300 mm 01.06.2010 1 as is where is immediately
111363 Hitachi S-4800 CD SEM 1 as is where is immediately
91397 HITACHI IS2700SE Dark Field inspection 1 as is where is
86278 Hitachi 6280H CONTROL RACK CD SEM (PARTS) Spares 01.05.1995 1 as is where is immediately
108040 Hitachi Spare Parts Various Spare Parts for sale Spares 1 as is where is immediately
109578 HITACHI CM-700H WIRE BONDER ASSEMBLY 01.05.2007 20 inquire immediately
91403 HITACHI S-5000 FE SEM 150 mm,200 mm 1 as is where is
60939 HITACHI S4160 Scanning electron microscope 31.05.1996 1 as is where is
91404 HITACHI S-5000 FE SEM 150 mm,200 mm 1 as is where is
91405 HITACHI S-5000 FE SEM 150 mm,200 mm 1 as is where is
91407 HITACHI S-5000 FE SEM 150 mm,200 mm 1 as is where is
91408 HITACHI S-5000 FE SEM 150 mm,200 mm 1 as is where is
108568 HITACHI LS9000 Wafer Surface Inspection System 300mm 01.06.2010 1 as is where is immediately
91417 HITACHI LS-6800 wafer surface inspection 300 mm 31.08.2007 1 as is where is immediately
91418 HITACHI UA-7200 Stripper/Asher 31.05.2001 1 as is where is
108068 Hitachi HL7000M E-Beam Litho (6 inch mask) RETICLE 1 as is where is
108069 Hitachi HL7500M E-Beam Litho (6 inch mask) RETICLE 1 as is where is
108070 Hitachi HL7800M E-Beam Litho (6 inch mask) RETICLE 1 as is where is
108071 Hitachi HL8000M E-Beam Litho (6 inch mask) RETICLE 1 as is where is immediately
108072 Hitachi S-6280H CD SEM 1 as is where is
74794 Hitachi S5200 FE SEM with EDX Inspection 31.05.2005 1 as is where is immediately
53054 HITACHI 6280H (SPARES) SORD Computer for cd sem system spares 1 as is where is immediately
52301 Hitachi 545-5516 7 Channel Power Supply module spares 0 as is where is immediately
52312 Hitachi 545-5522 VG board for CD SEM spares 31.05.1994 1 as is where is immediately
108896 Hitachi RS4000 Defect Review SEM 300 mm 01.06.2006 1 as is where is immediately
103525 HITACHI S4700-l Scanning Electron Microscope Laboratory 31.05.2004 1 as is where is immediately
103526 HITACHI S4700-ll FE Sem with Horriba EMAX EDX Laboratory 31.05.2001 1 as is where is immediately
108902 Hitachi RS4000 Defect Review SEM 300 mm 01.05.2007 1 as is where is immediately
103527 HITACHI S4700-ll FE Sem with Horriba EMAX EDX (Destocking Status) Laboratory 31.05.2003 1 as is where is immediately
52339 Hitachi 545-5521 EVAC PCB FOR HITACHI CD-SEM spares 31.05.1994 1 as is where is immediately
52340 Hitachi 545-5537 IP-PC2 for cd-sem spares 31.05.1994 1 as is where is immediately
52343 Hitachi 377-7592 Power Supply Module for CD SEM spares 31.05.1994 1 as is where is immediately
108413 Hitachi CG4000 SEM 300 mm 3 as is where is
108159 HITACHI S4700II FE SEM with EDAX (Detecting Unit) 1 as is where is
109183 Hitachi CG4000 SEM - Critical Dimension (CD) Measurement 300mm 1 as is where is
108160 HITACHI CV4000 High Voltage SEM 300 mm 01.06.2014 1 as is where is
83849 Hitachi S4500 Type I FE SEM Laboratory 01.05.1995 1 inquire immediately
36518 HITACHI S-5000 1 inquire
106662 HITACHI FB2100 FIB 200 mm 01.06.2003 1 as is where is
106663 HITACHI IS3000SE WAFER PARTICLE INSPECTION 300 mm 01.06.2006 1 as is where is
106664 HITACHI IS3200SE WAFER PARTICLE INSPECTION 300 mm 01.06.2010 1 as is where is
106665 HITACHI LS9000 Wafer Surface Inspection 300 mm 01.06.2010 1 as is where is
106666 HITACHI LS-6800 Wafer Surface Inspection 300 mm 01.06.2007 1 as is where is
102060 Hitachi 6280H CD SEM Control Rack including qty 12 PC Boards in the rack Spares 12 as is where is immediately
110765 Hitachi S-8840 CD SEM 1 as is all rebuilt
110766 Hitachi S-9260A SEM 1 as is where is immediately
106160 HITACHI RS6000 (Enhanced) Defect Review SEM 300 mm 01.06.2013 1 as is where is immediately
110781 Hitachi S-7840 High Resolution Imaging and CD-SEM 150 mm to 200 mm 01.09.2000 1 inquire immediately
52166 Hitachi 545-5515 DC power supply module for CD SEM spares 1 as is where is immediately
52167 Hitachi 6280H Power Supply Module 4channels spares 1 as is where is immediately
52168 Hitachi 545-5540 Power Supply unit for CD SEM Spares 1 as is where is immediately
108752 HITACHI S7000 CD SEM 150 mm 01.05.1989 1 as is where is immediately
98269 HITACHI RS 4000 Defect Review SEM 300 mm 01.05.2003 1 as is where is immediately
98270 HITACHI RS 4000 Defect Review SEM 300 mm 01.05.2003 1 as is where is immediately
109287 Hitachi SU 8010 Ultra High Resolution Field Emission Scanning Electron Microscope 100 mm 01.06.2007 1 as is where is immediately
18925 HITACHI 131-9008-1 Model U-3210 / U 3410recording spectrophotometer available commands 1 as is where is
18926 HITACHI 131-9007-1 Model U3210 / U3410 Recording spectrophotometer operating procedure 1 as is where is
109555 Hitachi IS3000 DARK FIELD INSPECTION 300 mm 01.05.2007 1 as is where is
109556 Hitachi HD2300 STEM (Scanning Transmission Electron Microscope) Laboratory 01.06.2006 1 as is where is immediately
109561 Hitachi S5500 HIGH RESOLUTION INSPECTION SEM Laboratory 01.06.2014 1 as is where is immediately
108027 HITACHI N-6000 NANO PROBER Laboratory 01.09.2007 1 as is where is
109114 HMI EScan 400XP E-Beam wafer inspection system 300 mm 01.06.2010 2 as is where is immediately
109115 HMI EScan EP3 E-Beam wafer inspection system 300 mm 01.06.2010 2 as is where is immediately
109179 HMI eP3 XP E-beam Inspection 300mm 1 as is where is
109180 HMI eScan 300 E-beam Inspection 300mm 1 as is where is
109181 HMI eScan 320 E-beam Inspection 300mm 1 as is where is
109182 HMI eScan 320 E-beam Inspection 300mm 1 as is where is
77264 HOLADAY HI-1801 MICROWAVE SURVEY METER Laboratory 2 as is where is immediately
108161 HP 4145B CV Measurement 1 as is where is
110616 HP / Agilent 4062 Automated Test equipment TEST 1 as is where is immediately
108753 HP / Agilent 54601A Oscilloscope, 4 Channel 100 MHz Electronics Test and Measurement 1 as is where is immediately
83579 HP HEWLETT PACKARD 9145A PC HP HEWLETT PACHARD 9145 115/230V 28.02.1990 1 as is where is immediately
82181 hps mks 90 degree flange HPS MKS Stainless Steel VACUUM FITTING 1 as is where is immediately
106667 HSEB AXIOSPECT 300 MICROSCOPE INSPECTION STATION 300 mm 1 as is where is
102559 HSEB Zeiss Axiotron 300 AOI microscope with 2 units of Brooks load port 300 mm 1 as is where is immediately
77156 HTC ISO1010OCRVSA Pump flange PN 192112412 Spares 1 as is where is immediately
110840 HTC Solar Set of spare parts spares 656 as is where is immediately
77145 Huber Unichiller UC060Tw chiller Chiller 1 as is where is immediately
107687 Huttinger PFG 300 RF Generator Spares 1 as is where is immediately
110322 Huttinger PFG 300 Generator SPARES 1 inquire
110323 Huttinger PFM 1500 A Match SPARES 1 inquire
108162 HYPERFLOW CENTURA WET Wafer Carrier Boat Wash System 150 mm 1 as is where is
108819 HYPERVISION Visionary 2 Emmission Microscope with Karl Suss PM-8 Analytical Prober 1 inquire
110324 ICP R48870 Match SPARES 1 inquire
74236 ICP DAS DB-8025 2-port Data Acquisition Board 01.01.2008 2 as is where is
77004 ICP DAS Omega DB-16R Daughter Board Spares 01.01.2006 2 as is where is immediately
84238 IGUS 07.10.038.0 Belt Spares 1 as is where is immediately
13025 IKO LWES 15 C1 R460 S2 Linear way with single bearing block,set of 4 Spares 4 as is where is immediately
83617 IKO NIPPON THOMPSON LWHT 20 C1 R760 B T1 H S2 LINEAR BEARING AND RAIL 30.11.2008 1 as is where is immediately
83618 IKO NIPPON THOMPSON T1 H S2 LINEAR BEARING AND RAIL 30.11.2008 1 as is where is immediately
83619 IKO NIPPON THOMPSON BCS H S2 LINEAR BEARING AND RAIL 30.11.2003 1 as is where is immediately
83626 IKO NIPPON THOMPSON PS1 LINEAR BEARING 30.11.2003 1 as is where is immediately
84222 IKO NIPPON THOMPSON LWL 12 C2 LINEAR BEARING AND RAIL Spares 01.11.2008 1 as is where is immediately
108820 INFICON XTC/2 Deposition Controller SPARES 1 inquire
83583 INFICON 850-200-G1 LEYBOLD IG 3 VACUUM GAUGE CONTROLLER RS232 1 as is where is immediately
77151 Ingersoll Rand ANAQK-ABXAB-160 Provenair HT Pneumatic Cylinder Spares 01.01.2008 1 as is where is immediately
108821 INNOLAS ILS 700 P Laser Drill SOLAR 1 inquire
56141 Innolas ILS 700P Laser Edge Isolation 156 mm 01.11.2006 1 as is where is immediately
110724 Innolas C3000DPS Wafer Marking System 300 MM 01.06.2002 1 as is where is immediately
86677 InTest Test Head CPIT TEP8 / STFLASH EPROM / 1792 Test Head Spares 31.05.2007 1 as is where is immediately
111365 INVALID[MPI Thermal ] ThermalAir TA5000A Temperature Forcing System 1 as is where is immediately
110676 IPEC 472 CMP Polishing system 150 / 200 1 as is all rebuilt immediately
109184 IPEC 372M Multi-Process CMP 200mm 1 as is where is
98465 IPEC 472 CMP Polishing system 150 MM / 200 mm 3 as is all rebuilt immediately
98466 IPEC 472 CMP 150 mm 2 as is where is immediately
109235 IPEC 472 Multi-Process CMP 100mm 1 as is where is
106504 Irvine Optical Auto Wafer Loader Microscope Inspection WAFER INSPECTION MICROSCOPE WITH AUTOLOADER 200 mm 01.06.1990 1 as is where is immediately
108970 IsMeca NX16 TEST SYSTEM TEST 01.06.2011 1 as is where is immediately
108754 IWASHITA Shotmatic 3 Epoxy Dispenser, 2ea Available 1 inquire
108163 IWATANI LNS-1 Liquid Nitrogen Supplier 1 as is where is
91419 J.A Woollam VUV-VASE (Gen II) Ellipsometer 300 mm 1 as is where is
91420 J.A Woollam VUV-VASE VU302 (Gen I) Ellipsometer 200 mm 31.05.2001 1 as is where is
101047 Jel SCR32000CS‐ 450‐PM Cleanroom Handling Robot Spares 31.05.2014 1 as is where is immediately
108822 JEOL JSM-6600F Scanning Electron Microscope 1 inquire
91422 JEOL JSM-5600 FE SEM 31.05.1999 1 as is where is
91424 JEOL JSM-6700F FE SEM 1 as is where is
108323 JEOL JFS-9855S Focused Ion Beam System 200mm 01.05.2000 1 as is where is immediately
110627 JEOL JSM-6460LV Scanning Electron Microscope Laboratory 1 as is where is
108324 JEOL JWS-7555 SEM - Defect Review (DR) 200mm 1 as is where is immediately
108907 JEOL JEM3200FS High Resolution TEM Laboratory 01.05.2006 1 as is where is immediately
109185 JEOL JEM-2010F TEM Laboratory 1 as is where is
109186 JEOL JFS-9815 Focused Ion Beam System 200mm 1 as is where is
109187 JEOL JSM-6400F FE SEM Laboratory 1 as is where is
108164 JEOL JSM-6340F FE Sem 1 as is where is
109188 JEOL JWS-7855S Mask Inspection & Repair System RETICLE 1 as is where is
106668 JEOL JWS-7500E SCANNING ELECTRON MICROSCOPE 200 mm 1 as is where is
36564 JEOL CD-SEM, JSM-6340F JEOL 1 inquire
109558 JEOL JSM-7500F SEM Laboratory 01.05.2009 1 as is where is immediately
111356 JEOL jbx-3040mv E-beam lithography system 200 mm 01.06.2006 1 as is where is immediately
98726 Jonas and Redmann SDB Automated Loader for Baccini Printing Line Solar 31.05.2008 1 as is where is immediately
98727 Jonas and Redmann WHD (Wafer Handling Diffusion) Automated Loader for Centrotherm E2000 Furnace Solar 01.05.2008 1 as is where is immediately
98728 Jonas and Redmann WHP (Wafer Handling Plasm) Automated Loader for Anti Reflection Coating System Solar 01.05.2008 1 as is where is immediately
77014 Jonas and Redmann SDB A AUTOMATED Loader for baccini Print Line 156 mm 01.06.2006 1 as is where is immediately
56310 Jonas and Redmann Q2 WHD A Loader for Centrotherm E2000 furnace 156 mm and 125 mm 31.05.2003 1 as is where is immediately
91427 Jordan Valley JVX 6200 X-ray metrology (X-Ray Reflectivity) 300 mm 1 as is where is
100917 Jordan Valley JVX6200 X-Ray Inspection System 300 mm 31.05.2010 1 as is where is
99830 JORDAN VALLEY JVX6200I X-ray Metrology System 300 mm 28.02.2011 1 as is where is immediately
108415 Jordan Valley Semiconductors LTD JVX 7200 X-ray Fluorescence Spectrometer 300 mm 1 as is where is
84552 JSC Systems 510 Controller spares 1 as is where is immediately
109042 JST CLV IPA Wafer Dryer 200 mm 01.12.2005 1 as is where is immediately
106917 K AND S SPRINT HIGHSPEED AUTOMATIC WIRE BONDER ASSEMBLY 1 as is where is immediately
18866 K AND S 98060-0000-001-01 Manual for Model 8060 automatic wedge bonder 1 as is where is
109028 K AND S 8028 Automatic Ball Bonder Assembly 01.03.2000 1 as is where is immediately
79595 K Tech Engineering BK04A Blister tape applicator for microelectronic components Assembly 31.05.2010 1 as is where is immediately
111380 K&S Power Fusion HT1 Wedge Bonder 1 as is where is immediately
108823 K&S 4123 Manual Wedge Bonder ASSEMBLY 1 inquire
108824 K&S 4124 Manual Thermosonic Ball Bonder ASSEMBLY 1 inquire
108825 K&S 4129 Manual Deep Access Wedge Bonder ASSEMBLY 1 as is all rebuilt
108826 K&S 4526 Manual Wedge Bonder, with Vertical Wire Feed ASSEMBLY 1 inquire
108827 K&S 6497 Semi-Automatic Flip Chip Epoxy Die Bonder ASSEMBLY 1 as is all rebuilt 1 month
108828 K&S 8020 Automatic Ball Bonder ASSEMBLY 1 inquire
108829 K&S 8028 Automatic Ball Bonder ASSEMBLY 1 inquire
108830 K&S 8060 Automatic Wedge Bonder ASSEMBLY 1 inquire
108832 K&S 4524AD Manual Thermosonic Ball Bonder ASSEMBLY 1 inquire
108755 K&S 9388 Laser Pro Automatic Ball Attach System 1 as is where is
108756 K&S 1471 Automatic wedge bonder Assembly 2 as is where is immediately
108757 K&S 1488 Plus Automatic Gold Ball Bonder Assembly 01.05.1997 1 as is where is immediately
84231 Kalrez O-RING AS-568A o-ring seal Spares 2 as is where is immediately
110606 Karl Suss MA 25 Mask Aligner 125 mm 01.06.2000 1 as is where is immediately
110609 Karl Suss MA200 Mask Aligner with CIC1000 lamp housing 200 mm 1 as is where is immediately
110611 KARL SUSS MJB-3 Mask Aligner 1 as is where is immediately
110612 KARL SUSS MA56 Mask Aligner 1 as is where is immediately
110619 KARL SUSS PA200HS Prober 200 mm 1 as is where is
109597 Karl Suss MA150e Mask Aligner with TSA 150 mm 01.06.2007 1 inquire
108833 KARL SUSS MA-4 Mask Aligner, IR Backside Alignment with Single Microscope, for up to 4" Wafers 1 inquire
108834 KARL SUSS MA-45 Mask Aligner, Front Side Alignment with Splitfield Microscope, for up to 4" Wafers 1 inquire
109602 Karl Suss MJB3 350W Mask Aligner 75mm 1 inquire
108835 KARL SUSS PM-8 Analytical Wafer Prober 1 inquire
109603 Karl Suss MA200 Compact Mask Aligner with TSA 200 mm 01.06.2010 1 inquire
109607 Karl Suss MA200 Compact Mask Aligner with TSA 200 mm 01.06.2010 1 inquire
108073 Karl Suss MA150 Mask Aligner 125 mm 1 as is where is
108074 Karl Suss Mask 9inch Mask for 8inch Aligner spares 1 as is where is
109612 Karl Suss MA8/BA8 Gen 3 TSA/BSA Mask Aligner 200 mm 01.06.2010 1 as is all rebuilt
109615 Karl Suss MJB4 350W Mask Aligner 100 mm 1 inquire
99394 KARL SUSS MA 150 Mask Aligner (Spare Parts) 150 mm 1 as is where is immediately
99397 KARL SUSS PM 8 Manual Prober 1 as is where is immediately
100939 Karl Suss MA 25 Mask Holder 2 as is where is immediately
108906 Karl SUSS MA200 Mask Aligner 150 mm, 200 mm 01.05.1995 1 as is where is
110699 Karl Suss MA 150 Mask Aligner 150 mm 1 as is where is immediately
108908 Karl Suss MA200 Mask Aligner 200 mm 01.05.2000 1 as is where is
108909 Karl SUSS MA200 Mask Aligner 150 mm-200 MM 01.05.1992 1 as is where is immediately
108939 Karl Suss MA 56 Mask Aligner 1 inquire 1 month
110742 Karl Suss Gamma Photoresist Spray Coater and Developer 200 mm 01.06.2016 6 as is where is
110748 Karl Suss ACS Photoresist Spray Coater and Developer 200 mm 01.06.2021 1 as is where is
108758 KARL SUSS MA6 Mask Aligner 50-150 mm 1 as is all rebuilt 1 month
106897 Karl Suss Micro Tec MA200 Mask Aligner with CIC1000 lamp housing 200 mm 1 as is where is immediately
106915 Karl Suss Micro Tec PA-200 Wafer Prober Station 01.06.2001 1 as is where is immediately
106916 Karl Suss Micro Tec PA200 Wafer Prober Station 01.06.2006 1 as is where is immediately
106798 Kawasaki 3NS411B-F006 Robot with cable and Controller Spares 01.06.2008 1 as is where is immediately
110673 Kawasaki 3NS511C-F003 Robot 1 as is where is immediately
98468 Kawasaki 3NX540B-A302 atmospheric wafer robot( AMAT producer) 300mm 1 as is where is
98469 Kawasaki NS410B-A002 atmospheric wafer robot( AMAT producer) 300mm 1 as is where is
103208 Keller VARIO-T 1.0-SC8-B30-HD Scrubber / Compact Dust Separator for Baccini laser unit exhaust air Facilities 31.10.2011 1 as is where is immediately
106818 Kensington WFH4C wafer robot with aligner 150/200mm 1 inquire
106819 Kensington WFH4D wafer robot with aligner 150/200mm 1 inquire
84388 KEYENCE FU-12 PHOTO SENSOR 4 as is where is immediately
84392 KEYENCE PS SERIES PHOTO SENSOR 6 as is where is immediately
84393 KEYENCE PS SERIES PHOTO SENSOR 1 as is where is immediately
84394 KEYENCE PS SERIES PHOTO SENSOR 3 as is where is immediately
109189 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester TEST 1 as is where is
109190 Keysight / Agilent / Hewlett-Packard (HP) 4073B Parametric Tester TEST 1 as is where is
108836 KINETIC SYSTEMS Vibraplane 1202-22-12S Vibration Isolation Table, 47" x 36" SOLAR 1 inquire
108759 KINETIC SYSTEMS Vibraplane 1201-01-11 Vibration Isolation Table 30"x35"x29"(h) 1 as is where is
109075 KLA AIT FUSION UV (SPARES) Hard Disk Drive with software for KLA AIT Fusion UV spares 01.11.2007 1 as is where is immediately
108569 KLA 2131 Inspection System 200 mm 01.05.1995 1 as is where is immediately
84000 KLA 750-653120-00C0 Power Line Conditioner / Transformer for KLA 2122 SPARES 31.01.1996 1 inquire immediately
86304 KLA 1007 Chuck, prober, 6" gold chuck assembly 200 mm 1 inquire immediately
53026 KLA 715-023506-00 Complete lead screw and stepping motor for KLA 2xx reticle inspection system spares 1 as is where is immediately
87086 KLA 655-6616141-00 Wafer stage, kla 21xx 200 mm 1 as is where is immediately
109106 KLA Surfscan AIT 3 Wafer particle Inspection 200 mm 01.06.2005 1 as is where is immediately
34115 KLA 740-401-320 P-N 073-401-320 AIRLOCK 1
110659 KLA UV1250SE Thin film meansurement system 125 mm - 200 mm 01.08.1998 1 as is where is immediately
110660 KLA 7700 Surfscan wafer particle detection system 100 - 200 mm 1 as is where is immediately
34118 KLA Ceramic table 8" Ceramic Table & Misc Parts 1
34119 KLA 7700M (SPARES) Lens PCB 042763 Spares 1
34121 KLA 7700m robot Dist. PCB 1 as is where is immediately
34122 KLA 7700m Keyboard Assy 1
34123 KLA 7700m 253537 Rev A PCB Microscope Dist 1
110668 KLA UV1280SE Thin Film Thickness Measurement System / Ellipsometer Up to 200 mm 01.01.2000 1 as is where is immediately
84301 KLA 710-658036-20 Alignment Processor board REV C3 Spares 1 as is where is immediately
84302 KLA 710-658041-20 Alignment PRocessor Phase 3 Board REV E0 Spares 1 as is where is immediately
84303 KLA 710-658046-20 PRocessor Board REV E0 Spares 1 as is where is immediately
84304 KLA 710-658177-20 Interpolator phase 3 Board REV F1 Spares 2 as is where is immediately
84305 KLA 710-658172-20 Y Interpolator C,PH3 Board REV J1 Spares 2 as is where is immediately
84306 KLA 710-655651-20 Cornerturn 3 PC board REV C0 Spares 1 as is where is immediately
106066 KLA 710-029946-00 Rev:XE Corrector-Formatter PCB M2A only spares 01.06.1990 1 as is where is immediately
84307 KLA 710-659412-00 Mass Memory PCB REV C0 Spares 1 as is where is immediately
34131 KLA 7700m Pittmann Motor 94337528 Microscope driver 1
84308 KLA 710-658232-20 Memory Controller Phase 3 PC board REV H1 Spares 1 as is where is immediately
34132 KLA 7700m 181137 Drive Assy PCB 1 as is where is immediately
84309 KLA 710-658086-20 PC Board, REV E0 Spares 1 as is where is immediately
34135 KLA 7700m 174203 Rev D PCB Flat finder pwd Driver 1 as is where is immediately
110679 KLA Surfscan 6400 Unpatterned wafer surface particle inspection system 100-200 MM 01.06.1994 1 inquire immediately
87642 KLA 6400 6220 Set of New OEM Cables FOR SURFSCAN MODELS 6200 AND 6400 Spares 1 as is where is immediately
34138 KLA 7700m (spares) p/n 252948 Rev B Motor Lamp Drive SFS 76 SPARES 1 as is where is immediately
34139 KLA 7700m Front Panel PCB 1 as is where is immediately
110686 KLA eDR-5200 Defect Review Sem 300 mm 01.06.2009 2 as is where is immediately
34143 KLA 7700m 181830 Rev D, National Instruments AT-GPIB/TNT 1
34144 KLA 7700m EMO Switch 1
106080 KLA 710-023236-00 Rev B1 P3 Scan delay FIR Filter PCB Spares 1 as is where is immediately
34145 KLA 7700m Leadscrew and Servo Motor CMC MT2115-014DF 1
106081 KLA 710-022410-01 Rev A5 AR GRAPHICS DISPLAY CONTROLLER 2 PCB Spares 1 as is where is immediately
106082 KLA 710-029421-00 Rev C2 SERVO DRIVE 2 III PCB Spares 1 as is where is immediately
34147 KLA 7700m Mirror Assy with Fiber Optic 1
106083 KLA 710-023589-00 Rev B2 SERVO DRIVE 1 III PCB Spares 1 as is where is immediately
106084 KLA 710-101836-02 Rev G3 AUTOFOCUS 2 PCB Spares 1 as is where is immediately
34154 KLA 7700m 201989 Concave Mirror 1
84076 KLA 050-654234-00 Lamp Micro Line Filament w/ clips 30.09.1996 1 as is where is immediately
34160 KLA 7700m Detector Assy 1
34161 KLA 7700m Mouse & PCB 240C 1
106865 KLA 2830 (PARTS) EFEM ONLY with Yaskawa XURCM9206 robot 300 mm 01.02.2010 1 as is where is immediately
34162 KLA 7700m Convex Glass Plate 1
34163 KLA 7700m two cables 7 brackets 1
34164 KLA 7700 Misc Bracket 1
34167 KLA 7700m Photomultiplier 1
106106 KLA 710-022400-01 D5 Graphic Display Controller 1 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106107 KLA 710-023602-00 B Display System Trap, RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106108 KLA 710-023256-00 C2 P3 Data Input PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106109 KLA 710-023141-00 Assy, Formatter p3 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31614 KLA 8100 PICOAMP 11 P/N 720-02964-000 2
106110 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 01.06.1991 1 as is where is immediately
31615 KLA 8100 (Spares) wafer tabel for CD SEM P/N 731-09404-047 Rev 2 spares 1 as is where is immediately
106111 KLA 710-028014-01 E ADBA PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31616 KLA 8100 Plate Wafer P/N 731-08507-004 31.12.2000 2
106112 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106113 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31618 KLA 8100 Block, Pivot ,Keybd P/N 740-03389-000 10
106114 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31619 KLA 8100 Bracket retainer keybd P/N 740-03390-000 10
106115 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31620 KLA 8100 Interface cable set P/N 810-09072-002 REV A Spares 2 as is where is immediately
106116 KLA 710-039524-00 A AP 1 210 series PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31621 KLA 8100 MCA Module P/N 720-02847-000 1
106117 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
108421 KLA eDR-5210 SEM - Defect Review (DR) 300 mm 4 as is where is
31622 KLA 8100 P/N 740-05635-000 REV A 2
106118 KLA 710-028014-00 B3 Image Memory Address PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31623 KLA 8100 T Piece P/N 471-07945-000 1
106119 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31624 KLA 8100 Ground Strap P/N 810-04308-005 12
106120 KLA 710-037887-01 B BMMC M2A with RIA signal mod. PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
108424 KLA eS805 E-beam Inspection 300 mm 1 as is where is
31625 KLA 8100 Ground Strap P/N 810-04308-004 10
106121 KLA 710-029767-00 REV D UPLL RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31626 KLA 8100 Bracket P/N 740-07893-000 1
106122 KLA 710-036420-00 XB Defect Concatenator 4 PCB -IAS compatible-newest version PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
108426 KLA SM-300/SpectraMap Film Thickness Measurement System 1 as is where is
31627 KLA 8100 P/N 740-05728-000 2
106123 KLA 710-036380-00 C IAS parameter 2 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31628 KLA 8100 P/N 740-07892-000 Rev A 2
106124 KLA 710-023279-00 H2 04/16 level dump PCB for KLA 2xx reticle inspection system Spares 01.09.1991 1 as is where is immediately
108428 KLA Surfscan 7700 Particle Measurement 200 MM 1 as is where is
31629 KLA 8100 Flex Pipe 1
106125 KLA 710-040042-00 XB e-series detector, threshold 2x2 259 M2A PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31630 KLA 8100 PCB 830-10172-000 Rev 3 1
106126 KLA 710-023455-00 XC e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
108430 KLA TP300 Implant Dosing Measurement 200 MM 1 as is where is
31631 KLA 8100 Bracket P/N 740-05415-000 Rev A 1
106127 KLA 710-029694-00 XF e-series detector,data 259 ROQ PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
108431 KLA VisEdge CV300R Edge Defect 300 mm 1 as is where is
31632 KLA 8100 Festo PU-3 Duo air Pipe 1
106128 KLA 710-023455-00 XC e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31633 KLA 8100 Plastic Disc 1
106129 KLA 710-039924-00 A1 SERVO DRIVE 3 IV PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106130 KLA 710-028287-01 G1 servo 4 II PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106131 KLA 710-023599-01 B1 Computer IF (RF) PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106132 KLA 710-037718-00 C SBC 3.5 inch floppy PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106133 KLA 710-023273-00 C 512 K ram, 210 series PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106134 KLA 710-037717-00 A FDD controller, 3.5 inch PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106135 KLA 710-029924-00 REV F Preprocessor 1 (RF) PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106136 KLA 710-029927-00 REV E Preprocessor 2 (RF) PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106137 KLA 710-037889-00 Rev XA Preprocessor I/O PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106138 KLA 710-036106-00 REV D Defect Highlighting Control 3 PCB for KLA 2xx reticle inspection system Spares 01.09.1992 1 as is where is immediately
27803 KLA 8100 KLA 8100 SEM Part 740-03565-000 5
27804 KLA Defect Highlighting PC Defect Highlighting PC for KLA 2xx reticle inspection system spares 1 as is where is immediately
106140 KLA 710-037699-00 XA1 gain/offset testpoint board PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31645 KLA 259 (Spares) Set of 2 Objectives and 2 illuminator lenses for KLA 259 reticle inspection system Spares 01.06.1991 4 as is where is immediately
106141 KLA 710-102570-02 Rev 1 Autofocus Pre-Amplifier PCB PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106142 KLA 210e and 259 (Spares) Encoders, 2500 LPI for KLA 2xx reticle inspection system Spares 2 as is where is immediately
27807 KLA 720-05887-000 MCP Detector Control Chassis spares 3 as is where is immediately
106143 KLA 740-064388-000 RETICLE TRANSFER SYSTEM FOR 5 AND 6 INCH PHOTOMASKS 5 INCH/6 INCH 01.06.1999 1 as is where is immediately
110751 KLA OP 2600 THIN FILM MEASUREMENT 200 mm 1 as is where is immediately
27809 KLA 259 (spares) Trinocular Microscope Head for KLA 2xx reticle inspection system SPARES 01.05.1992 1 as is where is immediately
106146 KLA 740-210171-00 ORH Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system spares 01.05.1992 1 as is where is immediately
83635 KLA 712-023914-00 rev B CCD TV camera for KLA 2XX reticle inspection system SPARES 1 as is where is immediately
108989 KLA 710-661729-00 CD0 S.A.T. RANDOM DEFECT PROCESSOR PCB FOR KLA 21XX Spares 01.08.1996 1 as is where is immediately
4288 KLA 710-101836-02 REV D AUTOFOCUS II POWER AMP Spares 1 as is where is
4289 KLA 710-102570-02 AUTOFOCUS PRE-AMPLIFIER Spares 2 as is where is
4290 KLA VLSI 845 DUPONT VERIMASK for KLA 2xx reticle inspection system Spares 01.12.1990 1 as is where is immediately
110786 KLA SP1-TBI Wafer Particle Detection System (Surfscan) 200 mm 1 inquire immediately
110787 KLA SP1-TBI Wafer Particle Detection System (Surfscan) 200 mm / 300 mm 1 inquire immediately
110788 KLA SP1-TBI Wafer Particle Detection System (Surfscan) 200 mm 1 inquire immediately
1736 KLA 710-101836-02 AUTOFOCUS 2 HV PCB for KLA 2xx reticle inspection system SPARES 01.01.1985 1 as is where is immediately
106189 KLA 740-401-320 AIRLOCK ASSEMBLY PCB Spares 01.09.1989 1 as is where is immediately
106191 KLA 900-01003-120 Assy. Software System 8xxx V3.1.2 Spares 01.06.2000 1 as is where is immediately
106968 KLA SP2 (spare parts) Complete set of calibration standard wafers for a KLA SP2 200 mm 01.11.2022 1 inquire immediately
109537 KLA 2351 Brightfield Wafer Defect Inspection System 200 mm 01.06.2002 1 as is where is immediately
108775 KLA AlphaStep 300 Profilometer 1 inquire
108009 KLA UV1250SE Wafer Film measurement / Ellipsometer 200 mm 01.10.1996 1 as is where is immediately
111346 KLA Quantox XP Electrical Measurement 300 mm 01.10.2006 1 as is where is immediately
111348 KLA P16 Plus Profileometer 200 mm 01.06.2005 1 as is where is immediately
84216 KLA 740-100360-00 ORH Mask Holder for 250 Mil x 6 inch masks for KLA 2xx reticle inspection system Spares 01.05.1992 1 as is where is immediately
84218 KLA 740-100059-00 GWH Glass Wafer Holder, 250-150 mm P1, for KLA 2xx reticle inspection system Spares 01.05.1992 1 as is where is immediately
109562 KLA 2367 Brightfield wafer defect inspection system 200 mm 01.09.2006 1 as is where is immediately
86672 KLA Tencor 710-661729-00 PC Board, KLA 21XX Spares 1 as is where is immediately
95117 KLA - Tencor 259 Image Digitizer Assembly SPARES 2 as is where is immediately
34117 KLA -TENCOR 7700 CASSETTE PLATE + PCB 8" SPARES 01.09.1995 1 immediately
34126 KLA -Tencor 7700m (Spares) Mirror Curved SPARES 1 as is where is immediately
34137 KLA -Tencor 7700m p/n 199958 Rev F PCB PSF Driver SFS75 SPARES 1 as is where is immediately
83562 KLA -TENCOR 7700M (Spares) CONCAVE MIRROR 201969 - Optical part from KLA 7700M Surfscan Spares 1 as is where is immediately
83624 KLA -Tencor 8100 (Spares) load lock assembly for CD-SEM SPARES 01.06.1998 1 as is where is immediately
108075 KLA Tencor 2131 Wafer Defect Inspection 150 mm 1 as is where is
106574 KLA TENCOR SEM-3800C Scanning Electron Microscope N/A 1 as is where is
34140 KLA TENCOR 7700M COMPUTER HARD DISK 1 as is where is immediately
83555 KLA TENCOR 720-05721000 CONTROL CHASSIS FOR KLA 8100 Column Control Chassis 2 as is where is immediately
83572 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS SPARES 01.02.1997 1 as is where is immediately
83574 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS 150 WATTS 01.02.1997 1 as is where is immediately
83577 KLA TENCOR 7700 M MODEL 2214-30SLOTT D1,D2,D3 01.09.1998 1 as is where is immediately
31612 KLA TENCOR 8100 PART 740 05584 000 C CONNECTOR INTERFACE 3 as is where is
83581 KLA TENCOR 720-05888-000 TFE GUN CONTROLLER CHASSIS 230 WATTS 01.02.1997 1 as is where is immediately
108165 KLA TENCOR Surfscan 7200 Surfscan wafer particle detection 150 mm,200 mm 01.06.1991 1 as is where is
108166 KLA TENCOR Surfscan 7200 Surfscan wafer particle detection 150 mm,200 mm 01.06.1990 1 as is where is
83614 KLA TENCOR HA-200 RADIATION POWER SYSTEMS INC. Mercury Lamp psu FOR KLA 2XX reticle inspection systems SPARES 1 as is where is immediately
27808 KLA TENCOR 720-07335-000 ADVANTECH COMPUTER ICP-6751 FOR KLA 81XX CD SEM Spares 1 as is where is immediately
21670 KLA Tencor 213780 REV C PCB PFE 4K MASK ASSY SFS 7500 SPARES 01.02.1996 1 inquire immediately
21671 KLA Tencor 244143 REV B PCB ADC PFE I/F 576 ASSY SPARES 01.02.1996 1 inquire immediately
106674 KLA TENCOR Viper 2430 Macro Defect Inspection 300 mm 01.06.2004 1 as is where is immediately
106675 KLA TENCOR Viper 2435 Macro Defect Inspection 300 mm 01.06.2004 1 as is where is
106676 KLA TENCOR Viper 2435XP Macro Defect Inspection 300 mm 01.06.2004 1 as is where is
106677 KLA TENCOR CI T1X0 package inspection system 300 mm 1 as is where is
106678 KLA TENCOR CI T1X0 package inspection system 300 mm 01.06.2006 1 as is where is
83895 KLA TENCOR 7700M (Spares) PIN DIODE PRE AMP PCB SPARES 31.05.1992 1 as is where is immediately
106679 KLA TENCOR 2132 (mainbody only) Wafer Inspection System 150 mm,200 mm 01.06.1995 1 as is where is
106681 KLA TENCOR P-2 Profileometer 150 mm,200 mm 01.06.1996 1 as is where is
83898 KLA TENCOR 7700M (Spares) Optical sub-assembly SPARES 1 as is where is immediately
83899 KLA TENCOR 7700M (Spares) Lens assembly SPARES 2 as is where is immediately
83900 KLA TENCOR 7700 Mechanical part SPARES 1 as is where is immediately
32230 KLA TENCOR SFS6400 MECHANICAL CALIBRATION Document Number 238 WAFERSURFACE ANALYSIS SYSTEM CUSTOMER MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
32231 KLA TENCOR Surfscan 64X0 Calibration Procedure Surfscan 64X0 Calibration Procedure MANUAL 1 as is where is immediately
32232 KLA TENCOR surfscan 64XX optical alignments Document Number 236 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
32233 KLA TENCOR SFS6x00 MECHANICAL CALIBRATION Document number 200 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
106481 KLA Tencor AIT I Patterned Surface Inspection System 150 mm/200 mm 01.06.1995 1 as is where is immediately
27801 KLA- TENCOR 720-05721-000 Column Control Chasis for KLA 8100 cd sem SPARES 3 as is where is immediately
34149 KLA-TENCOR 242163 Rev B PCB ADC-PFE Interface S76 PCB FOR KLA 7700M spares 1
34116 Kla-Tencor AIT 1 Network Card PCB SPARES 1 as is where is immediately
84411 KLA-Tencor 7700M (Spares) Electro-optical assembly for KLA Surfscan 7600 and 7700 SPARES 31.05.1995 1 as is where is immediately
109059 KLA-Tencor 289825A Sony XC-711 Video Camera and cable set SPARES 1 as is where is immediately
109598 KLA-Tencor Surfscan 5500 Wafer Particle Detection 200 mm 1 inquire
103206 KLA-Tencor 5xxx Spare Part LH Research Mighty Mite 500w Power Supply for KLA 5xxx Overlay Measurement System Spares 31.05.1995 1 as is where is immediately
91435 KLA-Tencor AIT Particle Review 200 mm 31.05.1997 1 as is where is
53035 KLA-Tencor 2132 (8 inch Wafer Chuck Assembly) Ceramic Wafer chuck, 8" spares 1 as is where is immediately
53036 KLA-Tencor Compumotor M575L11 Stepping motor drive spares 1 as is where is immediately
109613 KLA-Tencor AIT Surfscan wafer particle detection system 150 mm 1 inquire
109107 KLA-Tencor PROMETRIX FT-650 WAFER FILM THICKNESS MEASUREMENT SYSTEM 01.06.1991 1 as is where is immediately
76358 KLA-Tencor 710-039524-01, rev C Alignment Processor 2 , 210e series PCB Spares 1 as is where is immediately
91464 KLA-Tencor PROMETRIX FT750 Film Thickness Measurement 200 mm 1 as is where is immediately
91466 KLA-Tencor PROMETRIX FT750 Film Thickness Measurement 1 as is where is
34125 KLA-Tencor 7700m (spares) Mirror Assy Flat spares 1 as is where is immediately
34127 KLA-Tencor 7700m (Spares) p/n 186392A PCB Controller Handler spares 1 as is where is immediately
34130 KLA-tencor 7700m (Spares) 18458 Rev B $ CH Motor Control SPARES 1 as is where is immediately
84054 KLA-TENCOR 8100 (Spares) Motorized slit assembly for CD SEM Spares 2 as is where is immediately
34134 KLA-tencor 7700m (Spares) 210617 rev B PCB Filter Optical spares 1 as is where is immediately
34136 KLA-Tencor 7700m (Spares) p/n 099660 Handler back plane PCB SPARES 1 as is where is immediately
4958 KLA-Tencor Roll-a-Lift KIT 2135,2138,2230 MOVE FACILITIES 1 as is where is immediately
4959 KLA-Tencor 655-650504-00 8 INCH CHUCK ASSY FOR KLA 2132 200 mm 1 as is where is immediately
83810 KLA-Tencor 7700M (Spares) leadscrew and stepper motor for KLA Surscan 7700m, 7600 microscope spares 31.05.1997 1 as is where is immediately
34148 KLA-Tencor 7700m (Spares) p/n 210595 Rev B Optical Filter PCB spares 1 as is where is immediately
34150 KLA-Tencor 7700m 242163 Rev B PCB ADC-PFE Interface S76 spares 1 as is where is immediately
34152 KLA-Tencor 7700m (spares) p/n 213780 Rev C PCB MASK SFS spares 1 as is where is immediately
34153 KLA-Tencor 7700m (spares) Fresnel Lens / Mirror SPARES 1 as is where is immediately
34165 KLA-TENCOR 3005503 OPTICAL ASSY for kla 7700 and 7600 surfscans Spares 1 as is where is immediately
84086 KLA-TENCOR ASSY.CBL.GND EATHING STRAP 01.06.2000 14 as is where is immediately
34166 KLA-TENCOR AIT 1 (SPARES) Lens Assembly in transport box SPARES 1 as is where is immediately
84087 KLA-TENCOR 7700M (Spares) Mirror assembly for KLA 7700 m surfscan Spares 1 as is where is immediately
84088 KLA-TENCOR 7700M (Spares) Optical Lens assembly from a KLA 7700 M Surfscan Spares 1 as is where is immediately
84089 KLA-TENCOR 7600M ELECTRO-OPTICAL ACTUATOR ASSY Spares 28.02.1998 1 as is where is immediately
84091 KLA-TENCOR 113387 4-CHANNEL PWM MOTOR DRIVE ASSY PCB FOR KLA 7XXX SURFSCAN, P2 and P20 profilers Spares 31.05.1995 1 as is where is immediately
84092 KLA-TENCOR 655-6500504-00 CERAMIC CHUCK 200 MM(8*),2132 200 mm 31.05.1998 1 as is where is immediately
83837 KLA-TENCOR 7700M (Spares) EMO Button for KLA 7700M SPARES 1 as is where is immediately
84093 KLA-TENCOR 665-037138-00 MICROSCOPE MASK FOR KLA 2XX RETICLE INSPECTION SYSTEM Spares 1 as is where is immediately
31613 KLA-Tencor 8100 (Spares) Bracked Lift Keyboard KLA Part 740-03393-000 spares 5 as is where is immediately
110725 KLA-Tencor ARCHER 5 Optical Overlay measurement system 150-200 mm 01.06.2001 1 as is where is immediately
110726 KLA-Tencor OmniMap AutoRS75TC Wafer Resistivity Measurement 150-200 mm 01.06.1996 1 as is where is immediately
109191 KLA-Tencor 2820 Wafer Inspection Equipment 300mm 1 as is where is
110727 KLA-Tencor UV1080 Thin film measurement system 150-200 mm 01.06.2000 1 as is where is immediately
109192 KLA-Tencor 2820 Wafer Inspection Equipment 300mm 1 as is where is
109193 KLA-Tencor 2830 Brightfield Inspection 300mm 1 as is where is
105866 KLA-Tencor Surfscan 7700m Keyboard Assy 1 as is where is immediately
109194 KLA-Tencor AIT UV Darkfield Inspection 300mm 1 as is where is
109195 KLA-Tencor ASET-F5x Film Thickness Measurement System 300mm 1 as is where is
109196 KLA-Tencor Puma 9130 Darkfield Inspection 300mm 1 as is where is
109197 KLA-Tencor Puma 9130 Darkfield Inspection 300mm 1 as is where is
27790 KLA-Tencor 259 (Spare parts) PCBs for reticle inspection system 1 as is where is immediately
109198 KLA-Tencor Surfscan SP2 Wafer Particle Measurement System 300 mm 01.12.2010 1 as is where is immediately
74643 KLA-Tencor 710-013838-00 Rev L PCB Universal Video Mux for KLA 2xx reticle inspection systems spares 01.06.1993 1 as is where is immediately
1691 KLA-TENCOR 259 (spare parts) Reticle Inspection - SPARE PARTS up to 7 inch 01.12.1991 1 inquire immediately
27806 KLA-Tencor TFE Gun Controller Gun Controller Chassis Part No 720-05888-000 Spares 2 as is where is immediately
21667 KLA-Tencor JDS-Uniphase 2214-30 SLQ TT LASER FOR KLA 7700 SURFSCAN SPARES 31.01.1998 1 inquire immediately
83621 KLA-Tencor 8100 (Spares) Loadlock assembly for CD-SEM 0,4-0,7 MPa 31.05.1998 3 as is where is immediately
83622 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM SWAGELOK 152086 01.06.2000 1 as is where is immediately
18598 KLA-TENCOR 5xxx Spare Parts Set of Spare Parts from a KLA 5015 150 mm 01.12.1990 1 as is where is immediately
83623 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM spares 01.06.2000 1 as is where is immediately
18599 KLA-Tencor 710-401249-01 Rev F DRIVER BOARD for KLA 5xxx SPARES 31.05.1992 1 as is where is immediately
18600 KLA-Tencor 710-401249-01 Rev F Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18602 KLA-Tencor 750-40426.. 5xxx Spare Part BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
18603 KLA-Tencor 710-401536-00 FOR kla 5XXX ASSY NO 401536 00 ENCODER INTERFACE Spares 31.05.1992 1 as is where is immediately
18604 KLA-Tencor 710-401249-00 REV E for kla 5xxx Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
108716 KLA-Tencor Surfscan 4500 Unpatterned Wafer Surface Inspection 2 inch to 6 inch 01.11.1989 1 as is where is immediately
18605 KLA-Tencor 710-404146-00 REV A for KLA 5XXX ASSY BOARD FOR KLA 5XXX Spares 31.05.1992 1 as is where is immediately
83630 KLA-TENCOR 8100 (Spares) Load-lock assembly for CD SEM spares 01.12.2000 1 as is where is immediately
18606 KLA-Tencor 750-400159-00 REV A for KLA 5xxx MATROX VIP 1024 for a KLA 5XXX overlay system Spares 31.05.1992 1 as is where is immediately
18607 KLA-Tencor 730-400083-00 REV G for a KLA 5xxx PZT CONTROLLER for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18608 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx ASSY BOARD for a KLA 5xxx overlay system Rev. B 31.05.1992 1 as is where is immediately
18609 KLA-Tencor 710-400412-00 Rev K PCB for a KLA 5xxx system sp 31.05.1992 1 as is where is immediately
18610 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18611 KLA-Tencor 750-400339-00 Rev H from a KLA 5xxx PCB for a KLA 5xxx system Spares 01.05.1992 1 as is where is immediately
18612 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system SPARES 31.05.1992 1 as is where is immediately
18871 KLA-TENCOR 546860-27 Operation manual 1 as is where is
52151 KLA-Tencor Hamamatsu R1924A Photomultiplier spares 01.03.2007 1 as is where is immediately
83896 KLA-TENCOR Pittman 9433F528 Servo Drive motor for KLA 7700 Surfscan SPARES 1 as is where is immediately
18872 KLA-TENCOR 563234-27 Reference manual 1 as is where is
83897 KLA-Tencor 7700M (Spares) 8 inch ceramic chuck table for KLA 7700M SPARES 01.08.1995 1 as is where is immediately
18873 KLA-TENCOR 990-039410-00 210 e-series theory 1 as is where is
84409 KLA-Tencor AIT-1 SHIPPING KIT AIT-1 SHIPPING KIT SPARES 1 as is where is immediately
18874 KLA-TENCOR 905-664046-000 25x2 analysis workstation 1 as is where is
84410 KLA-TENCOR 7700M SENSOR OPTICAL SPARES 1 as is where is immediately
83643 KLA-Tencor RIBBON CABLE SPARES 2 as is where is immediately
18875 KLA-TENCOR 563226-27 Software version 5.0 manual 1 as is where is
18876 KLA-TENCOR 546879-27 Software version 4.1 manual 1 as is where is
83645 KLA-Tencor 2xx (SPARES) Control Paddles for KLA 2xx reticle inspection system Spares 01.06.1992 1 as is where is immediately
83901 KLA-Tencor 655-03737-00 Rev XA Mechanical part for a KLA 7700 M (Surfscan) SPARES 1 as is where is immediately
83902 KLA-Tencor 5xxx Spare Part Lambda Electronics LFS-47-48 REGULATED POWER SUPPLY Spares 1 as is where is immediately
18622 KLA-Tencor 750-404260 for KLA 5XXX BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
103366 KLA-TENCOR 7700M (Spares) Robot Arm, for up to 8 inch wafers, for KLA 7700 M Spares 31.05.1993 1 as is where is immediately
18632 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18634 KLA-Tencor POWER SUPPLY LAMBDA Rev. A 01.06.1992 1 as is where is
18635 KLA-Tencor 851391-101 LH RESEARCH REV.B 01.06.1992 1 as is where is
83918 KLA-TENCOR 7700M (Spares) Adjustable Opto Mechanical assembly for KLA 7700 Surfscan SPARES 1 as is where is immediately
71632 KLA-TENCOR 2122 Brightfield Wafer Defect Inspection System 200 mm 01.04.1996 1 as is where is immediately
108760 KLA-Tencor Surfscan 4500 Wafer Particle Inspection System 2 to 6 inch 01.05.1986 1 as is where is immediately
83929 KLA-TENCOR 720-02847-000 MCA Module for KLA 81xx CD SEM spares 31.05.1998 1 as is where is immediately
83930 KLA-TENCOR 720-02964-000B PICOAMP II for KLA 81xx CD SEM SPARES 2 as is where is immediately
83932 KLA-TENCOR 195430 rev B Detector Assembly for a KLA 7700M Surfscan SPARES 30.11.1985 1 as is where is immediately
96998 KLA-Tencor Surfscan AIT Patterned Wafer Inspection 200 mm 01.05.1997 1 as is where is immediately
53227 KLA-Tencor 251739 CH3 PMT OPTICS ASSY AIT2 SPARES 01.12.1989 1 as is where is immediately
84215 KLA-TENCOR 7700M (Spares) AT GPIB IEE 488.2 Interface PCB for a KLA 7700 M Spares 01.05.1993 1 as is where is immediately
84217 KLA-TENCOR 740-210171-00 Mask Holder for 5 inch x 0.090 thickness masks, with compensation glass, KLA 2XX spares 01.05.1992 1 as is where is immediately
84219 KLA-TENCOR 740-210171-00 Rev C OHR Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system spares 01.05.1992 1 as is where is immediately
84220 KLA-TENCOR 253537 Rev A Microscope Distribution PCB, for Surfscan 7600 and 7700 series Spares 1 as is where is immediately
35971 KLA-Tencor Corp. 720-05888-000 Electron gun controller for KLA 8100 spares 01.06.1998 2 as is where is immediately
80185 KNF Neuberger 057359 Diphagrahm service kit for N40.3FT pump 01.01.2012 2 as is where is immediately
74239 KNF NEUBERGER N 840.3 FT.18 DIAPHRAGM VACUUM PUMP LABOPORT D-79112 spares 1 as is where is
103386 KNIEL System CPD 5.12/6.3 Power Supply, 321-019-02.00 Spares 30.04.2001 2 as is where is immediately
84224 KOGANEI ORCA 16X120 Slit type rodless cylinder Spares 1 as is where is immediately
84258 KOGANEI SLIM AIR CYLINDER 4 as is where is immediately
84261 KOGANEI PDA S AIR CYLINDER 2 as is where is immediately
84265 KOGANEI KA CMA AIR CYLINDER 1 as is where is immediately
84266 KOGANEI TWDA AIR CYLINDER 1 as is where is immediately
84270 KOGANEI SLIM AIR CYLINDER 2 as is where is immediately
83846 KOGANEI JDAS32X5-165W AIR CYLINDER Spares 2 as is where is immediately
83873 KOGANEI BDAS10X30 SPARES 2 as is where is immediately
83880 KOGANEI AME07-E2-PSL VACUUM EJECTOR 8 as is where is immediately
83891 KOGANEI A200-4E1 AIR VALVE 2 as is where is immediately
84223 KOGANEI ORCA 16X120 Slit type rodless cylinder Spares 3 as is where is immediately
84055 KOGANEI LTD KA.CMA MINI CYLINDER 3 as is where is immediately
84056 KOGANEI LTD KA.CMA MINI-CYLINDER 1 as is where is immediately
84057 KOGANEI LTD KA.CMA MINI-CYLINDER 1 as is where is immediately
108570 Kokusai DJ-1206VN Vertical Furnace 300 mm 01.05.2007 1 as is where is immediately
90149 Kokusai DD-1223 V-DF Vertical Furnace, Wet Oxidation 300 mm 01.06.2013 1 as is where is immediately
108837 KOKUSAI VR70 Resistivity Test Tool 1 inquire
109108 Kokusai DJ-1236VN-DF Vertical Low-Pressure CVD System 300 mm 01.01.2016 1 as is where is immediately
110667 Kokusai DD-1206VN-DF Vertical Furnace, PYRO Process 300 mm 01.06.2005 1 inquire immediately
103534 Kokusai DD1223VN Pyro 300 mm 1 as is where is
103535 Kokusai DJ1223VN ALD 300 mm 1 as is where is
103536 KOKUSAI ZESTON-lll DD-1223V Dielectric CVD 300 mm 01.05.2011 1 as is where is
110706 Kokusai DD-1206VN-DF Vertical Furnace, PYRO Process 300 mm 01.09.2005 1 inquire immediately
91254 KOKUSAI QUIXACE2 ALD TiN 300 mm 1 as is where is
109199 Kokusai Quixace II ALD Nitride Vertical Furnace 300mm 1 as is where is
109200 Kokusai Quixace II ALD Nitride Vertical Furnace 300mm 1 as is where is
108433 Kokusai Quixace II ALD Nitride Vertical Furnace 300 mm 3 as is where is
109201 Kokusai Quixace II Anneal Vertical Furnace 300mm 1 as is where is
108434 Kokusai Quixace II ALD Oxide Vertical Furnace 300 mm 1 as is where is
109202 Kokusai Quixace II Anneal Vertical Furnace 300mm 1 as is where is
109203 Kokusai Quixace II Nitride Vertical Furnace 300mm 1 as is where is
109204 Kokusai Quixace II Poly Vertical Furnace 300mm 1 as is where is
109205 Kokusai Quixace Ultimate ALD SiO2 Vertical Furnace 300mm 1 as is where is
108438 Kokusai Quixace II Poly Vertical Furnace 300 mm 8 as is where is
108441 Kokusai Quixace Nitride Vertical Furnace 300 mm 1 as is where is
106673 KOKUSAI DJ1206VN CVD FURNACE SiN 300 mm 01.06.2010 1 as is where is
106682 KOKUSAI DJ1206VN CVD FURNACE SiN 300 mm 01.06.2007 1 as is where is
106683 KOKUSAI QUIXACE2 Vertical furnace, ALD TiN 300 mm 1 as is where is
106684 KOKUSAI QUIXACE2 Vertical furnace, ALD TiN 300 mm 1 as is where is
106685 KOKUSAI QUIXACE2 Vertical furnace, ALD TiN 300 mm 1 as is where is
106686 KOKUSAI QUIXACE2 Vertical furnace, ALD TiN 300 mm 1 as is where is
106687 KOKUSAI QUIXACE2 Vertical furnace, ALD TiN 300 mm 1 as is where is
106688 KOKUSAI QUIXACE2 Vertical Furnace, Nitride deposition 300 mm 1 as is where is
83931 KOKUSAI M 152 WRL THERMO COUPLE 11 as is where is immediately
109026 Kokusai DJ-853V-8BL J3 VERTICAL CVD FURNACE, NITRIDE PROCESS 150 mm 01.06.2000 1 as is all rebuilt immediately
108006 Kokusai DD-823V VERTICAL CVD FURNACE, H2 ANNEAL PROCESS 200 mm 2 as is where is immediately
108007 Kokusai DJ-853V-8BL J2 VERTICAL CVD FURNACE, HTO PROCESS 200 mm 01.09.2000 1 as is where is immediately
108920 Komatsu G20K4-1 248 nm (KrF) excimer laser LASER 01.05.2001 2 as is where is immediately
106689 KORNIC KORONARTP1200+ RTP 300 mm 01.06.2010 1 as is where is
106690 KORNIC RTP-600M RTP, Wafer Diffusion 100 mm, 150 mm 1 as is where is
84773 KOYO LINBERG VF5100B Set of Cleanroom Manuals spares 31.05.1998 1 as is where is immediately
106895 KTC BT-30 Die and ball shear tester 1 as is where is
84228 Kurt J Lesker ISO160AVCRT Pump centering ring Spares 2 as is where is immediately
84229 Kurt J Lesker ISO100AVCRT Pump centering ring Spares 2 as is where is immediately
84230 Kurt J Lesker ISO Flange ISO63, 5-hole, *NEW* 5 hole pump flange Spares 2 as is where is immediately
84282 Kurt J Lesker QF-SSC-ALM Single claw clamp Spares 8 as is where is immediately
84212 Kurt J Lesker QF160-SAVR Pump centering ring Spares 2 as is where is immediately
110325 Kurt J. Lesker AT3 Match SPARES 1 inquire
109436 KYOSAN 15Z-S1 RF GENERATOR Spares 1 as is where is
109437 KYOSAN 15ZI-M RF GENERATOR Spares 2 as is where is
109438 KYOSAN HPK06ZI-TE7-SINGLE RF GENERATOR Spares 17 as is where is
109439 KYOSAN HPK15ZD RF GENERATOR Spares 1 as is where is
109440 KYOSAN JFK85TH-TC6 RF GENERATOR Spares 20 as is where is
108761 LABCONCO Protector Laboratory Fume Hood and Cabinet with Sink Laboratory 1 as is where is immediately
2873 Lam 4520 (spares) REMOTE CART FACILITIES 01.12.1994 1 as is where is immediately
106874 Lam 2300 Exelan Flex Dry Etcher with 3 chambers 300 mm 01.07.2004 1 as is where is immediately
109441 LAM 832-038915-103 RF GENERATOR Spares 1 as is where is
109442 LAM 832-038915-203 RF GENERATOR Spares 1 as is where is
109443 LAM 853-040482-502 RF GENERATOR Spares 1 as is where is
109444 LAM 853-040482-600 RF GENERATOR Spares 1 as is where is
109445 LAM 853-085372-114 RF GENERATOR Spares 1 as is where is
109446 LAM 853-085375-015 RF GENERATOR Spares 1 as is where is
95408 Lam 4520 (spares) REMOTE CART FACILITIES 01.12.1994 1 as is where is immediately
106691 LAM 2300 CHAMBER ONLY MWAVE STRIP (POLY) 300 mm 01.06.2010 1 as is where is
106692 LAM 2300 CHAMBER ONLY MWAVE STRIP (POLY) 300 mm 01.06.2013 1 as is where is
106693 LAM 2300 CHAMBER ONLY MWAVE STRIP (POLY) 300 mm 01.06.2013 1 as is where is
106694 LAM 2300 CHAMBER ONLY MWAVE STRIP (POLY) 300 mm 01.06.2013 1 as is where is
106695 LAM 2300 CHAMBER ONLY MWAVE STRIP (POLY) 300 mm 01.06.2014 1 as is where is
106696 LAM 2300 FX EX+ CHAMBER ONLY PLASMA OXIDE ETCH 300 mm 01.06.2013 1 as is where is
106697 LAM 2300 MWAVE STRPR CHAMBER MWAVE STRIP (POLY) 300 mm 01.06.2018 1 as is where is
106698 LAM ALTUS CVD 300 mm 01.06.2009 1 as is where is
106699 LAM FLEX FX CHAMBER ONLY PLASMA OXIDE ETCH 300 mm 01.06.2013 1 as is where is
106700 LAM Novellus Altus Max Concept Three Tungsten CVD 300 mm 01.03.2014 1 as is where is immediately
106701 LAM TORUS300K DRY Bevel Etcher 300 mm 01.06.2006 1 as is where is
110326 Lam 6 inch RF Coil Miscellaneous SPARES 1 inquire
110327 Lam 9500 Match SPARES 1 inquire
110328 Lam 9500 Match SPARES 1 inquire
110329 Lam Controller Miscellaneous SPARES 1 inquire
110330 Lam Controller Miscellaneous SPARES 1 inquire
110331 Lam Kiyo Poly Match Match SPARES 1 inquire
110332 Lam Research Match Match SPARES 1 inquire
110333 Lam TCP Upper Match Match SPARES 1 inquire
110334 Lam TCP Upper Match Match SPARES 1 inquire
110335 Lam Versys Poly match Match SPARES 1 inquire
108446 LAM / SEZ SP304 Single Wafer Processing 300 mm 1 as is where is
110750 LAM / SEZ SP203 Single wafer processing 01.01.2011 1 as is all rebuilt 1 month
108447 LAM / SEZ SP4300 Single Wafer Processing 1 as is where is
109234 LAM / SEZ SP203 Single Wafer Processing 200mm 1 as is where is
106240 Lam Research 853-011168-002 Rev C Surge Protector, Gap Drive Motor Assy Spares 01.07.1996 1 as is where is immediately
108038 LAM RESEARCH Spare Parts Various Spare Parts for sale Spares 1 as is where is immediately
111370 LAM Research 2300 Exelan Flex 45 Etcher Asher 12" / 300mm ICP 1 as is where is immediately
111371 LAM Research 2300 Strip 45 1 as is where is immediately
108572 LAM RESEARCH INOVA PVD 300mm 01.06.2004 1 as is where is immediately
108573 LAM RESEARCH INOVA PVD 300mm 01.06.2010 1 as is where is immediately
108574 LAM RESEARCH STRATA-3 CVD 300mm 01.06.2021 1 as is where is immediately
108575 LAM RESEARCH STRIKER CVD 300mm 01.06.2021 1 as is where is immediately
108576 LAM RESEARCH STRIKER CVD 300mm 01.06.2008 1 as is where is immediately
108577 LAM RESEARCH Vector Express CVD 300 mm 01.06.2003 1 as is where is immediately
100919 LAM Research ALTUS CVD System 300 mm 31.05.2001 1 as is where is
100920 LAM Research ALTUS CVD System 300 mm 31.05.2010 1 as is where is
100921 LAM Research ALTUS CVD System 300 mm 31.05.2003 1 as is where is
103538 LAM Research INOVA Concept 3 NExT 300 mm 31.05.2005 1 as is where is
105861 Lam Research 853-495477-001 Rev B Cable Spares 30.11.2000 4 as is where is immediately
105862 Lam Research 853-017410-003 REV A Assy. cable.LWR match,Pk det. Spares 30.11.2000 5 as is where is immediately
108167 LAM Research 2300 MWAVE STRPR Chamber only 300 mm 01.06.2012 1 as is where is
108168 LAM Research FLEX_GX_E6 Oxide ETCH 300 mm 01.06.2008 1 as is where is
110728 LAM Research 2300 Dry etch cluster tool Mainframe without chambers 200 MM 01.06.2008 1 as is where is immediately
109206 LAM Research 2300 Coronus Wafer Edge Cleaning - Plasma 300mm 1 as is where is
110998 Lam Research 857-073710-002 KIT,SHIM,CLAMP - FLEX45/DS/DX Spares 5 inquire
109207 LAM Research 2300 Exelan Flex FX - Chamber Only Dielectric Etch 300mm 1 as is where is
110999 Lam Research 857-027108-001 KIT,CHOKE RING - FLEX45/DS/DX Spares 5 inquire
109208 LAM Research 2300 Versys Metal Metal Etch 300mm 1 as is where is
111000 Lam Research 857-027108-100 KIT,CHOKE RING,TCP TO TP FLD SERIES - FLEX ES/EX+ Spares 5 inquire
84377 Lam Research 810-06526-000 ASSY.CBL.EF CONTROL.SNIPER Spares 31.05.2001 1 as is where is immediately
109209 LAM Research 2300e4 Exelan Flex DX Dielectric Etch 300mm 1 as is where is
111001 Lam Research 857-120208-010 KIT GSKT THRM TP TO CHOKE - FLEX FX Spares 5 inquire
109210 LAM Research 2300e4 Exelan Flex ES Dielectric Etch 300mm 1 as is where is
111002 Lam Research 857-120209-009 KIT GSKT THRM CHOKE TO HTR - FLEX FX Spares 5 inquire
111003 Lam Research 857-120209-305 KIT GSKT THRM CHOKE - FLEX HX Spares 5 inquire immediately
111004 Lam Research 857-120210-008 KIT GSKT THRM HTR TO BP - FLEX FX Spares 5 inquire immediately
111005 Lam Research 857-120210-302 KIT GSKT THRM CHOKE - FLEX HX Spares 5 inquire immediately
111006 Lam Research 713-066269-002 FIT RING GAST GUARD ABE - ABE Spares 5 inquire immediately
111007 Lam Research 713-069935-003 GSKT GUARD, OUTER ELECTD(TCP) - FLEX ES/EX+ Spares 5 inquire immediately
108448 LAM Research 2300 Coronus Wafer Edge Cleaning - Plasma 300 mm 1 as is where is
108704 Lam Research 490 Autoetch Polysilicon Dry Etcher 150 mm 01.06.1990 3 inquire immediately
111008 Lam Research 713-072792-003 GSKT, GUARD, OUTER ELECTD - FLEX45/DS/DX Spares 5 inquire immediately
108449 LAM Research 2300 Exelan Flex Dielectric Etch 300 mm 6 as is where is
111009 Lam Research 713-073439-010 RING, HER, FLEX45 - FLEX45 Spares 5 inquire immediately
111010 Lam Research 410-01754-026-RW KIT, THERMAL SHIM, CIP1 - CIP1 Spares 5 inquire immediately
111011 Lam Research 714-072727-048 GASKET(Q310-575924), FLEX/DS - FLEX45/DD/DS/DX Spares 5 inquire immediately
111012 Lam Research 857-065064-004 KIT, GASKET, ABE - ABE Spares 5 inquire immediately
111013 Lam Research 857-072806-005 KIT, GASKET, ELCTD - FLEX45/DS/DX Spares 5 inquire immediately
111014 Lam Research 857-073299-001 KIT, GASKET, TOP ELCTD - FLEX45/DS/DX Spares 5 inquire 6 months
111015 Lam Research 857-073299-002 TCP Q-PAD KIT - FLEX ES/EX+ Spares 5 inquire immediately
102568 LAM Research TORUS 300K Dry Etch 2 inquire
111016 Lam Research 857-085550-026 ELECTRODE GASKET KIT FOR FLEX EX+ Spares 5 inquire immediately
102569 LAM Research TORUS 300S Dry Etch 2 inquire
108457 LAM Research 2300e4 Exelan Flex GXE Dielectric Etch 300 mm 1 as is where is
111017 Lam Research 857-085550-046 KIT GSKT ELECTD - FLEX GX/GXE Spares 5 inquire immediately
111018 Lam Research 857-085550-822 KIT GSKT ELECTD - FLEX FX Spares 5 inquire immediately
111019 Lam Research 719-078253-060 RING GASKET, GEL, CER 509 - FLEX FX Spares 5 inquire immediately
111020 Lam Research 719-078253-103 PKG, GASKET, GEL, CER, WIDE - FLEX FXP Spares 5 inquire immediately
111021 Lam Research 713-072728-130 RING, HTSK, ESC/CPLNG, 300MM, SP - FLEX EX Spares 5 inquire immediately
111022 Lam Research 714-072728-117 HEAT SINK R - FLEX EX+ Spares 5 inquire immediately
111023 Lam Research 839-086924-050/839-086924-021 INNER STUD SOCKETS - Flex DX/FX Spares 5 inquire immediately
111024 Lam Research 839-086924-051/839-086924-025 OUTER STUD SOCKETS - Flex DX/FX Spares 5 inquire
111025 Lam Research 839-086924-052 C-Shroud ring STUD SOCKETS - Flex DX/FX Spares 5 inquire immediately
111026 Lam Research 716-069688-005 ELCTD,INR,SI,300MM - 2300 Flex Spares 5 inquire
111027 Lam Research 716-082039-001 ELCTD,OUTER, .18 STEP, 300MM - 2300 Flex Spares 5 inquire
111028 Lam Research 716-023013-037 RING,HE, 38X ESC,RND, SGL XTL, 300MM - 2300 Flex Spares 5 inquire
111029 Lam Research 716-017112-533 RING,WAP,17.07X1.4THK - 2300 Flex Spares 5 inquire
111030 Lam Research 716-014843-303 RING,WAP,QTZ,GENERIC,17.07ID,300MM - 2300 Flex Spares 5 inquire
111031 Lam Research 716-013402-008 COV,H/E RING,QTZ - 2300 Flex Spares 5 inquire
91320 LAM Research EXELAN 2300 OXIDE ETCH 300 mm 1 as is where is
111032 Lam Research 716-018468-092 RING,COVER,OUTER,GND - 2300 Flex Spares 5 inquire
111033 Lam Research 716-014843-360 RING,WAP,QTZ,GENERIC,17.07ID,300 - 2300 Flex Spares 5 inquire
111034 Lam Research 716-013402-063 COV,H/E RING,QTZ - 2300 Flex Spares 5 inquire
111035 Lam Research 716-801667-003 WIN, QTZ - 2300 Flex Spares 5 inquire
111036 Lam Research 716-801568-002 WIN, QTZ - 2300 Flex Spares 5 inquire
111037 Lam Research 716-012640-011 WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Star-T Spares 5 inquire
111038 Lam Research 716-012639-024 NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Star-T Spares 5 inquire
111039 Lam Research 716-026652-902 RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T Spares 5 inquire
111040 Lam Research 716-026652-922 RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T Spares 5 inquire
111041 Lam Research 716-031257-531 RING,EDGE,TOP,QTZ,EBP,300MM - 2300 Star-T Spares 5 inquire
111042 Lam Research 716-031257-561 RING,EDGE,TOP,QTZ,EBP,300MM - 2300 Star-T Spares 5 inquire
111043 Lam Research 716-008608-001 WDO,SAPPHIRE,25MM X.087 - 2300 Star-T Spares 5 inquire
111044 Lam Research 716-801568-002 WIN, QTZ - 2300 Star-T Spares 5 inquire
111045 Lam Research 716-012640-012 WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Kiyo Spares 5 inquire
111046 Lam Research 716-012639-024 NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Kiyo Spares 5 inquire immediately
111047 Lam Research 716-026652-002 RING,EDGE,BOT,200-300MM - 2300 Kiyo Spares 5 inquire
111048 Lam Research 716-026652-011 RING,EDGE,BOT,200-300MM - 2300 Kiyo Spares 5 inquire
111049 Lam Research 716-031257-534 RING,EDGE, TOP, EBP,2300 - 2300 Kiyo Spares 5 inquire
111050 Lam Research 716-031257-564 RING,EDGE, TOP, EBP,300MM - 2300 Kiyo Spares 5 inquire
111051 Lam Research 716-008608-001 WDO,SAPPHIRE,25MM X.087 - 2300 Kiyo Spares 5 inquire
111052 Lam Research 716-801568-002 WIN, QTZ - 2300 Kiyo Spares 5 inquire
111053 Lam Research 716-801568-002 WIN, QTZ - 2300 Versys Metal Spares 5 inquire
18898 LAM RESEARCH 406-240121-008 TCP 9600SE Drawings and schematics Vol 3 1 as is where is
18899 LAM RESEARCH 406-240121-005 TCP 9600SE Maintenance procedure 1 as is where is
18900 LAM RESEARCH 406-240122-007 TCP 9600SE Drawing and schematics Vol 2 1 as is where is
18901 LAM RESEARCH 406-240122-006 TCP 9600SE Drawing and schematics Vol 1 1 as is where is
18902 LAM RESEARCH 406-240121-006 TCP 9600SE Drawing and schematics Vol 1 1 as is where is
18903 LAM RESEARCH 406-240121-007 TCP 9600SE Drawing and schematics Vol 2 1 as is where is
18904 LAM RESEARCH 406-240121-008 TCP 9600SE Manual set addendum 1 as is where is
18905 LAM RESEARCH 409-240164-001 TCP rainbow e oxide 9500 1 as is where is
18906 LAM RESEARCH 406-240040-002 TCP Rainbow 4500 system descriptions 1 as is where is
18907 LAM RESEARCH 406-240203-074 TPC 9400/9600 PTX alliance installation guide 1 as is where is
18908 LAM RESEARCH 406-240101-001 TCP 9400 SE installations and start up 1 as is where is
18909 LAM RESEARCH 407-240100-001 TCP 9400 illustrated part identifier 1 as is where is
18910 LAM RESEARCH 406-240121-003 TCP 9600 SE system operation 1 as is where is
18911 LAM RESEARCH 406-240121-002 TCP 9600 SE system description 1 as is where is
18912 LAM RESEARCH 406-240101-005 TCP 9400 SE maintenance classic 1 as is where is
18913 LAM RESEARCH 406-240203-074 TCP 9400/9600 PTX alliance installation guide 1 as is where is immediately
18914 LAM RESEARCH 409-240163-001 Rainbow TCP Envision LAM RESEARCH SECS and SECS/GEM interface 1 as is where is
18915 LAM RESEARCH 406-240100-005 TCP 9400 maintenance procedure 1 as is where is
18916 LAM RESEARCH 406-240101-008 Drawings and schematics Vol3 1 as is where is
18917 LAM RESEARCH 406-240101-006 Drawings and schematics Vol3 2 as is where is
98277 LAM Research 2300 MWAVE STRPR Asher/Stripper 300 mm 31.05.2012 1 as is where is
18918 LAM RESEARCH 406-240101-005 Drawings and schematics Vol1 1 as is where is
18919 LAM RESEARCH 406-240101-007 Drawings and schematics Vol2 2 as is where is
98279 LAM Research FLEX GX E6 OXIDE ETCH 300 mm 31.05.2008 1 as is where is
18920 LAM RESEARCH 406-240122-009 Drawings and schematics Vol4 1 as is where is
98280 LAM Research FLEX GX E6 OXIDE ETCH 300 mm 31.05.2008 1 as is where is
111340 LAM RESEARCH CONCEPT 3 (Parts) Preclean module 300 mm 01.06.2010 2 as is where is immediately
18927 LAM RESEARCH 406-240122-008 Drawing and schematics Vol3 1 as is where is
18928 LAM RESEARCH 406-240122-010 Drawing and schematics Vol5 1 as is where is
111364 LAM RESEARCH /ONTRACK DSS 200 Scrubber Series 1 1 as is where is immediately
106820 Lam Research Ontrak Synergy Post CMP cleaner with HEPA mini-environment 200 mm 2 as is where is immediately
106866 Lam Research ® Jetstream MZI Gas Box, new and unused, p/n 571-065780-91534F SPARES 1 as is where is immediately
110336 Lambda SPA 400 Generator SPARES 1 inquire
82879 LAMBDA CKS-36-21A 36V DC Regulated power supply, Advantest T5335P Spares 1 as is where is immediately
13044 Lambda CA1000 Alpha 1000W CA1000 Power Supply Spares 01.01.2004 1 as is where is immediately
15066 LAMBDA PHYSIK Novaline K2005 248 nm excimer laser for ASML /300 facilities 01.06.2002 1 as is where is immediately
94475 LASERTEC BGM300 Wafer Surface Analyzing and VIsualization System 200 mm 31.05.2008 1 as is where is
106702 LASERTEC BGM300 Wafer Inspection System 300 mm 1 as is where is
106484 Laurier DS-7000T/R Die Pick and Sort 150 mm/200 mm 01.11.1999 1 as is where is immediately
108762 LEATHERWOOD LPD333.FR4.FT Semi-Auto Automated 6' Acid Wet Bench, for up to 6" Wafers, Excellent Condition 150 mm 1 as is where is 1 month
11234 LEE VAC Gate valves SPARES 3 as is where is immediately
111379 Leica INS3000 Defect Inspection 1 as is where is immediately
108171 LEICA INM100 Microscope 1 as is where is
108172 LEICA INM100 Microscope 1 as is where is
108173 LEICA INM100 Microscope 1 as is where is
108174 LEICA KENSINGTON 300901 Wafer Inspection Microscope 1 as is where is
108175 LEICA KENSINGTON 300901 Wafer Inspection Microscope 1 as is where is
108176 LEICA KENSINGTON 300901 Wafer Inspection Microscope 1 as is where is
108177 LEICA KENSINGTON 300901 Wafer Inspection Microscope 1 as is where is
108178 LEICA Polyvar SC POLYVAR SCOPE 1 as is where is
108179 LEICA Reichert POLYVAR SC Wafer Inspection Microscope 200 mm/300 mm 01.06.2002 1 as is where is
108180 LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope 1 as is where is
108181 LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope 1 as is where is
108182 LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope 1 as is where is
108183 LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope 1 as is where is
109211 Leica INS3300 Macro-Defect 300mm 1 as is where is
109212 Leica INS3300 Macro-Defect 300mm 1 as is where is
109213 Leica INS3300 Macro-Defect 300mm 1 as is where is
106485 Leica LEICA INM20 Microscope inspection station 200 mm 01.06.1995 1 as is where is immediately
83570 Leitz 512815 / 2 Microscope for KLA 51xx with olympus eyepieces 512 815/20 31.05.1995 1 as is where is immediately
109017 LEITZ ERGOLUX AMC -LIS Inspection microscopes 1
106241 LEYBOLD ISO-K 100 Large ISO-K Vacuum Bellows and 90 Degree Elbow Spares 1 as is where is immediately
77003 Leybold 287 02 V2 Pump Valve PUMP 1 as is where is immediately
108838 LEYBOLD-HERAEUS NT-450 Turbo Pump Controller PUMP 1 inquire
108839 LEYBOLD-HERAEUS TMP-450C Turbo Pump, 2ea Available PUMP 1 inquire
108840 LEYBOLD-HERAEUS Turbotronik NT 150/360 Turbomolecular Vacuum Pump Controller PUMP 1 inquire
108841 LEYBOLD-HERAEUS Turbovac 150 Turbo Pump, 2ea Available PUMP 1 inquire
108842 LEYBOLD-HERAEUS Turbovac 150CSV Turbo Pump, 2ea Available PUMP 1 inquire
33542 Liebherr FKV 3610 Fridge for the safe storage of photoresist facilities 1 as is where is immediately
106486 Lintec RAD-2000F / 8 LINTEC UV CURE TOOL 150 mm/200 mm 01.07.2018 1 as is where is immediately
106487 Lintec RAD-2500 Lintec Wafter Mounter RAD2500 150 mm/200 mm 1 as is where is immediately
106488 Lintec RAD-2500M/8 Wafer mounter 150 mm/200 mm 01.06.2001 1 as is where is immediately
107008 Long Hill Ind. Ltd. LH 836 Automated Wafer taper 150 mm 01.03.2004 1 as is where is immediately
108282 Longhill LH800 Wafer transfer machine 200 mm 01.06.2007 1 as is where is immediately
108843 LOOMIS LCD 2P Wafer Scriber with Breaker Option 1 inquire
98730 LOTUS Spray Cleaner WET Clean for parts Facilities 01.10.2007 1 as is where is immediately
110747 LPKF Vitrion S5000II Laser Induced Deep Etching 200 mm 01.06.2022 2 as is where is
94476 LUMONICS SUPERCLEAN Laser Marking System 200 mm 1 as is where is
77092 MAC 225B-111BAAA Pneumatic solenoid valve 1 as is where is
108844 MACTRONIX UKA-625 Eureka III Jr. Manual Wafer Transfer 1 inquire
108845 MACTRONIX UKA-825 Wafer Transfer Tool - Eureka Jr. for 200 mm Wafers 200 MM 1 inquire
108764 MACTRONIX UKA-650 Wafer Transfer Tool - Eureka III Sr. for 150mm Wafers 150 mm 1 as is where is
94599 MagneTek DS 316 GPD 503 SPARES 1 as is where is
84026 Maple Systems HMI530TV45 Touch Screen spares 01.01.2006 1 as is where is immediately
84072 Maple Systems HMI530TV45 Touch Screen spares 01.01.2006 1 as is where is immediately
110615 March Plasma Asher Assembly 1 as is where is immediately
110741 March AP-1000 Plasma Cleaning System Assembly 01.06.2000 2 as is where is immediately
83885 MATHESON TRI.GAS ROTAMETER 3 as is where is immediately
18835 MATRIX 0995-99844 System one etcher model 403 installations and operations manual 2 as is where is
18836 MATRIX 0995-99842 system one etcher model 303 installations and operations manual 1 as is where is
18837 MATRIX 0995-99844 Installation and operations manual 1 as is where is
18838 MATRIX 0995-99829 Oem user's manual 1 as is where is
83882 MATSUSHITA BBC 35 N INTERRUPTOR CAP SYS 01.05.2010 1 as is where is immediately
83637 MATSUSHITA HP2-DC 24V RELAY HP 20 as is where is immediately
108578 MATTSON PARADIGM_SI DRY ETCH 300mm 01.06.2012 1 as is where is immediately
108579 MATTSON PARADIGM_SI Poly-silicon etcher 300 mm 01.05.2011 1 as is where is immediately
108910 Mattson AST3000 RTP 200 mm 01.09.2002 1 as is where is immediately
103539 MATTSON HELIOS RTP 300 mm 31.10.2003 1 as is where is immediately
103540 MATTSON HELIOS RTP 300 mm 30.04.2005 1 as is where is immediately
109447 MATTSON RFS3019 RF GENERATOR Spares 1 as is where is
108184 MATTSON MILLIOS Rapid Thermal Anneal 300 mm 01.06.2011 1 as is where is
18845 MATTSON 17500675-00 Aspen OEM manual volume I 2 as is where is
18846 MATTSON 17500675-00 Aspen OEM manual volume II 3 as is where is
18847 MATTSON 17500675-00 Aspen OEM manual volume III 3 as is where is
18848 MATTSON 299-31000-00 Aspen strip system manual 6 as is where is
98281 MATTSON HELIOS RTP 300 mm 31.01.2006 1 as is where is immediately
98282 MATTSON HELIOS RTP 300 mm 31.10.2006 1 as is where is immediately
98283 MATTSON PARADIGME SI Dry Strip 300 mm 31.05.2011 1 as is where is
98284 MATTSON PARADIGME SI Polysilicon Etch 300 mm 1 as is where is
109552 Mattson Aspen 3 ICP Dual Chamber Light Etcher 300 mm 01.07.2008 1 as is where is immediately
91641 MATTSON HELIOS RTP 300 mm 30.04.2005 1 as is where is immediately
79571 Mazzali Climatest C320G5 Temperature and humidity testing chamber Reliability 31.05.1993 1 as is where is immediately
79572 Mazzali Climatest C320G5 Temperature and humidity testing chamber Reliability 31.05.1993 1 as is where is immediately
4007 MDC (Materials Development Corp.) DUO CHUCK CSM16 CV Measurement system 150 mm 31.05.1995 1 inquire immediately
108284 Mechatronic mWS200/300t Wafer sorter with 4 load ports 300 mm 01.06.2012 1 as is where is immediately
106821 MECS UTC 820Z atmospheric wafer handling robot( Hitachi CD-SEM) 200mm 1 inquire
98474 MECS OF 250 wafer pre-aligner(Hitachi CDSEM 8820/8840) 200mm 3 as is where is immediately
98476 MECS UTC 801P atmospheric wafer handling robot( WJ-1000 200mm 2 as is where is immediately
98477 MECS UTX 1100 atmospheric wafer handling robot(ASM eagle-10) 200mm 2 as is where is
98478 MECS UTX 1200 atmospheric wafer handling robot(ASM eagle-10) 200mm 1 as is where is
106822 MECS(Asyst) UTW-FS5500S atmospheric wafer handling robot NA 1 inquire
108765 MEI VQ-20-ASA-H-SM Variable Throttle Valve 1 as is where is
80244 MELLES GRIOT 05-LHP-121 HE NE Laser (Unused) Spares 01.12.1996 1 as is where is immediately
110789 Mentor Graphics Veloce 2 Quattro Hardware Emulation System 1 as is where is immediately
109214 Metryx Mentor OC23 Mass Measurement 200mm 1 as is where is
108464 Metryx Mentor DF3 Mass Measurement 300 mm 1 as is where is
108465 Metryx Mentor OC23 Mass Measurement 200-300 mm 1 as is where is immediately
108766 Micro Automation 2066 Mask and wafer cleaner 1 as is where is immediately
108185 Micro Vu Vertex 420 Precision coordinate measurement system assembly 1 as is where is
108846 MICROAUTOMATION M-1100 Wafer Dicing Saw, for up to 6" Wafers 150 MM 1 inquire
71902 Microcontrol MWE Plus UV Wafer Eraser with cassette loading 200 mm , 150 mm, 125 mm 01.05.2000 1 as is where is immediately
108580 Micromanipulator Probe Station 1 Manual Prober with hot and cold chuck 200 mm 1 as is where is immediately
84374 MICRON 256 MB SYNCH 1 as is where is immediately
108847 MICRONICS JAPAN CO. MP-10 Manual Probe Station with B&L StereoZoom 7 Microscope & 2ea Micropositioners 1 inquire
84059 MICROSPEED PD-250C PC-TRAC mouse FUUTB02 1 as is where is immediately
106496 Milara Milara MTW-1 Milara MiniTouch MTW-1 Wafer Screen Printer 150 mm/200 mm 1 as is where is
106495 Milara Milara MTW-1 Milara MiniTouch MTW-1 Wafer Screen Printer 150 mm/200 mm 1 as is where is
80260 MILLIPORE WGGB06WR1 WAFERGARD IN-LINE GAS FILTER SPARES 4 as is where is immediately
80265 MILLIPORE FLUOROGARD-PLUS CWFA01PLV filter CARTRIDGE spares 1 as is where is immediately
80245 MILLIPORE CORP WGFG01HR1 WAFERGARD F MINI IN-LINE GAS FILTER 2 as is where is immediately
82220 Milton Roy AA761-65S Flow rate pump 1 as is where is immediately
108848 MINATO MM-6600 Wafer Mobility Tester with MECS UX-1000 Wafer Robot, 2ea Available 1 inquire
79592 Minato Electronics 1940 EPROM Programmer with additional memory Electronics Test and Measurement 31.05.2007 1 as is where is immediately
79593 Minato Electronics 1940 EPROM Programmer Electronics Test and Measurement 31.05.2005 1 as is where is immediately
83812 MINERTIA MOTOR RM SERIES MOTOR ELECTRIC 30.09.1996 1 as is where is immediately
83890 MINERTIA MOTOR RM SERIES INDUCTION MOTOR 01.03.1997 1 as is where is immediately
110337 MISC BPS MATCH Match SPARES 1 inquire
110338 MISC DC BIAS CONTROL Match SPARES 1 inquire
110339 MISC DC BIAS CONTROL Match SPARES 1 inquire
110340 MISC DC BIAS CONTROL Match SPARES 1 inquire
83523 MITSUBISHI MR-J10A1 AC SERVO POWER 100 W 1
83554 MITSUBISHI FR-Z120-0.4K PLC, INVERTER 200 V CLASS FREQROL-Z120 1 as is where is immediately
83638 MITSUBISHI Melservo MR-C10A1-UE AC SERVO AMPLIFIER 100-120 V 31.03.2005 1 as is where is immediately
108289 Mitutoyo Quick Vision QVT1-X606P1L-D CNC coordinate measuring system ASSEMBLY 01.06.2016 1 as is where is
110341 MKS DCG 100E OPTIMA Generator SPARES 1 inquire
110342 MKS MW-5060 Match SPARES 1 inquire
110343 MKS MW-5060 Match SPARES 1 inquire
110344 MKS MW-5060 Match SPARES 1 inquire
110345 MKS MW-5060 Match SPARES 1 inquire
110346 MKS MWJ-1013 Match SPARES 1 inquire
111378 MKS FI20620 Astex Power supply 1 as is where is immediately
108849 MKS NW100 Two Stage Heated Vacuum Valve, HPS DIV Jalapeno 45 Series Heater Cover SPARES 1 inquire
87366 MKS 653B-13064 Type 653 Throttle Control Valve DN100 (4 INCH ID FLANGE) Spares 1 as is where is immediately
77940 MKS 627BX01MCC1B Baratron 1mbar (CAPACITANCE MANOMETER) Spares 1 as is where is immediately
109448 MKS ASTRON i Remote Plasma Cleaner Spares 2 as is where is
109449 MKS ASTRONex Remote Plasma Cleaner Spares 1 as is where is
109450 MKS AX3060-1 Remote Plasma Cleaner Spares 1 as is where is
109451 MKS AX3063 Remote Plasma Cleaner Spares 4 as is where is
109452 MKS AX3063ULVJ Remote Plasma Cleaner Spares 1 as is where is
109453 MKS AX3151 Remote Plasma Cleaner Spares 2 as is where is
109454 MKS AX7650 Remote Plasma Cleaner Spares 1 as is where is
109455 MKS AX7657-2 Remote Plasma Cleaner Spares 5 as is where is
109457 MKS AX9004 Remote Plasma Cleaner Spares 1 as is where is
109458 MKS B-3013 RF GENERATOR Spares 1 as is where is
109459 MKS B-5002 RF GENERATOR Spares 1 as is where is
109460 MKS B-5002 RF GENERATOR Spares 1 as is where is
109461 MKS D13449 RF GENERATOR Spares 3 as is where is
109462 MKS DCG-200Z RF GENERATOR Spares 1 as is where is
109463 MKS DCG-200Z RF GENERATOR Spares 1 as is where is
109464 MKS DCG-200Z RF GENERATOR Spares 2 as is where is
109465 MKS DCG-200Z RF GENERATOR Spares 6 as is where is
109466 MKS DCG-200Z RF GENERATOR Spares 1 as is where is
109467 MKS DCG-200Z RF GENERATOR Spares 1 as is where is
109468 MKS DCG-200Z RF GENERATOR Spares 2 as is where is
109469 MKS DCS80-13E RF GENERATOR Spares 4 as is where is
109470 MKS FI20160-1 RF GENERATOR Spares 1 as is where is
109471 MKS FI20162 RF GENERATOR Spares 2 as is where is
109472 MKS FI20162 RF GENERATOR Spares 1 as is where is
109473 MKS FI20162-1 RF GENERATOR Spares 6 as is where is
109474 MKS FI20608 RF GENERATOR Spares 1 as is where is
109475 MKS FI20609 RF GENERATOR Spares 1 as is where is
109476 MKS FI20612 RF GENERATOR Spares 3 as is where is
109477 MKS GEW-3540 RF GENERATOR Spares 2 as is where is
109478 MKS GHW-12Z RF GENERATOR Spares 2 as is where is
109479 MKS GHW-50Z RF GENERATOR Spares 1 as is where is
109480 MKS GHW-85A RF GENERATOR Spares 1 as is where is
109481 MKS GHW-85A RF GENERATOR Spares 1 as is where is
109482 MKS GL-139 RF GENERATOR Spares 1 as is where is
109483 MKS MWH-100-01M6 RF GENERATOR Spares 1 as is where is
109484 MKS MWH-100-01M6 RF GENERATOR Spares 1 as is where is
109485 MKS OEM-12 RF GENERATOR Spares 2 as is where is
109486 MKS OEM-1250 RF GENERATOR Spares 9 as is where is
109487 MKS OEM-25-11481 RF GENERATOR Spares 1 as is where is
109488 MKS OEM-25G RF GENERATOR Spares 1 as is where is
109489 MKS OEM-25N-01 RF GENERATOR Spares 1 as is where is
109490 MKS OEM-6A-01 RF GENERATOR Spares 1 as is where is
109491 MKS OEM-6A-11491-51 RF GENERATOR Spares 1 as is where is
74164 MKS 154-0100P High Vacuum Valve, NEW spares 31.12.2005 1 as is where is immediately
109492 MKS OEM-6AM-1B RF GENERATOR Spares 2 as is where is
109493 MKS OEM25A-21091-51 RF GENERATOR Spares 3 as is where is
109494 MKS PC-3G RF GENERATOR Spares 1 as is where is
69856 MKS Type 624 Baratron pressure transducer with trip points, range 1000 Torr, CE spares 1 as is where is immediately
106085 MKS ASTeX Astron FI80131-R Remote Plasma Source Spares 1 as is where is immediately
101024 MKS Instruments 162-0040K Inline Pneumatic Valve ISO-KF NW 40 flanges spares 1 as is where is immediately
84235 Moeller Xpole PLSM-C10/1 mini breaker switch Spares 1 as is where is immediately
18877 MOSAID 1000242-01 MS3400 test system reference manual 1 as is where is
108767 MOSAID MS4155 Memory Test System TEST 1 as is where is immediately
108028 MOSAID M4205 Memory Tester TEST 1 as is where is immediately
108768 MPM SP200 Screen Printer smt 1 as is where is immediately
72156 MRL Black Max Black max heater element, 850 celcius Spares 01.11.2006 1 as is where is immediately
109029 MRSI 505 Die Attach/ Multichip Module assembly 01.01.2001 1 as is where is immediately
84372 MS PRG WO A9403789 MOUSE MODEL No.240C 01.02.1999 1 as is where is immediately
108029 MSP CORP. 2300XP1 Particle Depositioning 300 mm 1 as is where is
106242 Muegge MX4000D-110LL MICROWAVE POWER SUPPLY SPARES 01.02.2002 8 as is where is immediately
82230 Muegge Controller Controller, CAN controller, L/R sync 01.12.2001 1 as is where is immediately
79968 Muegge MW2009D-260ED Magnetron Head 2.45GHZ 01.12.2001 2 as is where is immediately
77936 Muegge MX4000D-110LL Microwave Power Supply 3 as is where is immediately
106497 Muhlbauer DS 10000 DSD HIGH SPEED TAPE AND REEL SYSTEM ASSEMBLY 01.06.2006 1 as is where is immediately
106498 Muhlbauer DS 10000 Muhlbauer DS10000 150 mm/200 mm 1 as is where is
108290 Multitest MT2168 Test handler with Lemsys tester for High Voltage and Hi Current testing TEST 01.06.2012 1 as is where is
108964 Multitest MT2168 test handler with hot, ambient and cryogenic cooling options TEST 01.06.2018 1 as is where is immediately
108084 Musashi FAM2200 Dispenser SMT 1 as is where is
108085 Musashi Short Master 200 Dispenser SMT 1 as is where is
108086 Musashi Short Master 300 Dispenser SMT 2 as is where is
106703 N&K ANALYZER 5700-CDRT Wafer Inspection System 1 as is where is
106704 n&k Technology 6700-CDRT Wafer Inspection System 1 as is where is
106705 NANO OPTICS HAZE 2 Nano Optics Haze 2 200 mm 1 as is where is
108292 Nanofocus Microprint 3-D package inspection system ASSEMBLY 01.06.2014 1 as is where is
107027 NANOMETRICS Caliper Mosaic Overlay measurement System 300 mm 01.08.2010 1 inquire immediately
109113 Nanometrics LynX 9010T Optical CD Measurement (Scatterometry) system 300 mm 01.09.2008 1 as is where is immediately
106823 Nanometrics Nanospec 9100 Ox film thickness measurement (PC missing) 200mm 1 as is where is immediately
103544 NANOMETRICS CALIPER ELAN Overlay 300 mm 31.05.2004 1 as is where is
91526 NANOMETRICS Caliper Mosaic Overlay 300 mm 31.05.2003 1 as is where is immediately
91529 NANOMETRICS Caliper Mosaic Overlay 300 mm 28.02.2010 1 as is where is immediately
91530 NANOMETRICS NANOMETRICS 9-7200-0195E Mask & Wafer Inspection 200 mm 1 as is where is
108186 NANOMETRICS CALIPER_MOSAIC Overlay 300 mm 01.06.2001 1 as is where is
98480 Nanometrics 8000X film thickness measurement 150mm 2 as is where is immediately
98481 Nanometrics 8000Xse film thickness measurement 200mm 2 as is where is
98482 Nanometrics Caliper Mosaic (Parts) EFEM Module, including a Brooks Razor robot 300 mm 1 as is where is immediately
98483 Nanometrics Lynx EFEM Kawasaki robot 300 mm 1 as is where is immediately
108467 Nanometrics Tevet Trajectory T3 Film Thickness Measurement System 300 mm 1 as is where is
106179 Nanometrics 9000 Nanospec Wafer Metrology film thickness measurement tool 200 mm 01.06.2005 1 as is all rebuilt immediately
106959 Nanometrics 9000 (Spare Parts) Spectroscopic Reflectometer Spares 01.06.2005 1 as is where is immediately
106960 Nanometrics 9000 (Spare Parts) Computer Nanometrics P/n 7200-2432 Spares 01.06.2005 1 as is where is immediately
101585 NANOMETRICS CALIPER-ULTRA Overlay Measurement 300 mm 30.06.2006 1 as is where is
106706 NANOMETRICS CALIPER_ULTRA Mask & Wafer Inspection 300 mm 01.06.2006 1 as is where is
98289 NANOMETRICS CALIPER ULTRA Mask & Wafer Inspection 300 mm 31.05.2005 1 as is where is
98290 NANOMETRICS CALIPER ULTRA Mask & Wafer Inspection 300 mm 31.05.2005 1 as is where is
98291 NANOMETRICS CALIPER ULTRA Mask & Wafer Inspection 300 mm 30.06.2006 1 as is where is immediately
77197 Nanyang Quartz wafer boat Shell boat 200mm quartz 200mm 6 as is where is immediately
69868 National Instruments GPIB120A GPIB BUS EXPANDER / ISOLATOR TEST 31.05.1998 8 as is where is immediately
84369 NC NOR-CAL PRODUCTS 0995-16528 CHEMRAZ O-RING INSIDE OF VALVE 01.03.1990 1 as is where is immediately
91143 NEC NEC SL-473F Si Wafer Marker 1 as is where is immediately
83887 NEC C1OT 6D TA 0100 THERMOSTAT 01.06.2004 1 as is where is immediately
83888 NEC MU1238B-11B AIRFLOW ROTATION 1 as is where is immediately
83889 NEC MF300-02 MIST FILTER MF300-02 1 as is where is immediately
83545 Nemic-LAMBDA CKD-65/65 65V 6A DC Regulated power supply FOR Advantest T5335P Spares 1 as is where is immediately
83546 Nemic-LAMBDA TKS_36-21A 36V 21A DC Regulated power supply, Advantest T5335P Spares 1 as is where is immediately
107009 NESLAB HX+75 A/C Process Module Chiller Facilities 01.06.1995 1 as is where is immediately
108850 NESLAB CFT-75 Recirculating Water Chiller CHILLER 1 inquire
108851 NESLAB RTE-221 Refrigerated Recirculating Water Chiller with Microprocessor Controller CHILLER 1 inquire
94594 Neslab 1033-0017-001 Chiller CHILLER 1 as is where is
74168 Neslab RTE-110 Benchtop Laboratory chiller Spares 01.06.1995 1 as is where is immediately
108769 NESLAB HX-750 Air Cooled Recirculating Water Chiller, Damaged Compressor 1 as is where is
108770 NESLAB HX-75 Recirculating Water Chiller w/Digital Temp Ctlr, Water Cooled 1 as is where is
108852 NEUTRONIX/QUINTEL 7000 Mask Aligner, with IR Backside Alignment, for up to 6" Wafers 1 inquire
108031 NEXTEST MAGNUM II EV ICP Memory Tester TEST 01.11.2011 1 as is where is
99381 NexTest / Teradyne MAGNUM 1 EV Low Powered Engineering Test System TEST 01.01.2014 1 as is where is immediately
99382 NexTest / Teradyne MAVERICK PT II Automated Test Equipment TEST 1 as is where is immediately
99383 NexTest / Teradyne MAVERICK PT II Automated Test Equipment TEST 1 as is where is immediately
109045 NexTest / Teradyne Maverick-II PT Bitmap Tester TEST 01.05.2003 1 as is where is immediately
109046 NexTest / Teradyne Maverick-II PT Bitmap Tester TEST 01.05.2004 1 as is where is immediately
108032 Nextest Systems Corporation MAGNUM II ICP 1280 Memory Tester TEST 01.04.2010 1 as is where is immediately
108030 Nextest Systems Corporation MAGNUM ICP 1280 Memory Tester TEST 01.05.2010 1 as is where is immediately
93103 NGR NGR2150 E-beam wafer inspection 300 MM 1 as is where is
108853 NICOLET Avatar 370 DTGS FT-IR Spectrometer 1 inquire
108187 NICOLET Magna 410 ft-ir FTIR Spectrophotometer Laboratory 01.06.1996 1 as is where is
108188 NICOLET Magna 560 ft-ir FTIR Spectrophotometer Laboratory 01.06.1998 1 as is where is
108771 NICOLET Magna 550 FT-IR Spectrophotometer Laboratory 01.05.1993 1 as is all rebuilt immediately
108189 NIDEC Im15 Wafer inspection 1 as is where is
108190 NIDEC Im800 Wafer inspection 1 as is where is
109057 Nikon NSR-S307E 193 nm (ArF) excimer exposure system 300 mm 1 as is where is immediately
106503 Nikon Auto Wafer Loader for Microscope Inspection WAFER INSPECTION MICROSCOPE 150 mm/200 mm 1 as is where is immediately
109072 Nikon NSR-S208D 248 nm (KrF) excimer exposure system 300 mm 01.06.2010 1 as is where is immediately
110610 NIKON OptiStation 3 Wafer Inspection Microscope 200 mm 1 as is where is immediately
110618 NIKON Optistation 3 Wafer Inspection Microscope 01.07.1994 1 as is where is immediately
103456 Nikon NWL860-TBM Wafer Auto Loader 150 mm, 200 mm 1 as is where is
108854 NIKON NWL-860 Automatic Microscope Wafer Loader for up to 200mm Wafers 1 inquire
108087 Nikon 4S061-690-1 Linear Motor Controller spares 1 as is where is
108855 NIKON Optiphot 200 Wafer Inspection Microscope, B/Dfield & DIC Microscopy, Motorized Turret with 5ea Objectives, Prior ProScan Programmable Stage, 200mm X 200mm XY Travel, Color CCD Camera & More 1 inquire
108088 Nikon 4S586-580 NEST spares 1 as is where is
108089 Nikon Irradiance Meter included G-line sensor spares 1 as is where is
108090 Nikon Irradiance Meter included i-line sensor spares 1 as is where is immediately
108092 Nikon OPTIPHOT-200 Wafer inspection microscope 200 mm 3 as is where is
108093 Nikon OPTIPHOT-300 Wafer inspection microscope 300 mm 1 as is where is
108094 Nikon OPTIPHOT-88-AC IN Wafer inspection microscope 200 mm 1 as is where is
108095 Nikon R1505A test reticle spares 2 as is where is immediately
108096 Nikon R2005MF(VER3.09N), R2005HMF(VER5.09) test reticle spares 2 as is where is
108097 Nikon R2205HA, HB, HDIS, HMF test reticle spares 4 as is where is
108098 Nikon VT286 Digital spares 2 as is where is
99396 Nikon EpiPhot 200 Inspection Microscope 200 mm 1 as is where is immediately
110663 Nikon NSR-SF140 I-LINE SCANNER 300 mm 1 as is where is immediately
110664 Nikon NSR-S204B 248 nm (KrF) excimer exposure system 200 mm 1 as is where is immediately
110690 Nikon NSR 2205 I11D i line Stepper 200 mm 01.06.1997 1 as is where is immediately
108643 Nikon Eclipse L200 Wafer Inspection Microscope 150 mm/200 mm 01.06.2006 1 as is where is immediately
110691 Nikon NSR 2205 I9C i line Stepper 200 mm 01.06.1994 1 as is where is immediately
110692 Nikon NSR S204B 248 nm DUV Scanner 200 mm 1 as is where is immediately
110695 Nikon NWL 860 TMB Wafer Inspection Microscope with wafer autoloader 150 mm, 200 mm 1 as is where is immediately
108904 Nikon NSR-S609B ArF 193 nm scanner (Scanner) 300 mm 01.05.2005 1 as is where is immediately
108923 Nikon NES1-H04 Mini stepper 100 mm 01.05.2011 1 as is where is immediately
110729 Nikon NSR-S204B Photo-lithography 248 NM DUV Scanner with photo-track 300 MM 01.06.2002 1 as is where is immediately
109215 Nikon NSR-S308F 193nm (ArF) Scanner 300mm 1 as is where is
108965 Nikon A1R Confocal Laser Scanning Microscope with Prior Proscan III automated stage Laboratory 1 as is where is immediately
18867 NIKON 204402 Operations manual NSR-1755I7A 1 as is where is immediately
98484 Nikon ECLIPSE L150 Microscope 100/150mm 1 as is where is immediately
98485 Nikon Optiphot 150 Microscope Inspection Station 100/150mm 3 as is where is immediately
110791 Nikon OptiStation 3200 Fully Automated Wafer Inspection Microscope 300 mm 1 as is where is immediately
106956 Nikon 4S061-688-5 X Linear Motor Stage Amp SPARES 1 as is where is immediately
32206 NIKON RETICLE BOX 5 INCH 5 INCH RETICLE BOX 5 INCH 2 as is where is immediately
106707 NIKON AMI-3300 Wafer Inspection System 300 mm 1 as is where is
106708 NIKON AMI-3300 Wafer Inspection System 300 mm 1 as is where is
106709 NIKON AMI-3500 Wafer Inspection System 300 mm 1 as is where is
109278 Nikon NSR2205 i14E i-line stepper 200 mm 01.05.2000 1 as is where is immediately
109536 Nikon NSR-SF100 i-line scan-field Stepper 200 mm 01.06.2003 1 as is all rebuilt immediately
108772 Nikon Optistation 3 Wafer Inspection System 150 mm 01.05.1994 1 as is where is immediately
111339 Nikon NSR-SF200 KrF Excimer Scan-Field Stepper 150 mm 01.06.2003 1 as is where is immediately
91376 NIKON NSR-204B Scanner DUV (GIGAPHOTON G20K2 KRF laser) 200 mm 1 as is where is
108784 Nikon Optistation 3A Automatic Wafer Inspection Station 200 mm 01.05.1997 1 as is where is immediately
111344 Nikon NSR-S208D 248 nm Lithography System 300 mm 01.06.2008 1 as is where is immediately
91377 NIKON NSR-204B 248 nm (KrF) excimer exposure system 200 mm 01.06.2000 1 as is where is immediately
108785 NIKON Optiphot 200 (For spares use) Wafer Inspection Microscope (Parts Tool Only) 200 mm 1 as is where is immediately
111345 Nikon NSR-S208D 248 nm Lithography System 300 mm 01.06.2011 2 as is where is immediately
108789 Nikon Optiphot 200 Wafer Inspection Microscope 200 mm 1 as is all rebuilt immediately
108790 NIKON Optiphot 88 Wafer Inspection Microscope 200 mm 1 as is where is immediately
109051 Nikon NSR-S203B 248 nm (KrF) excimer exposure system 200 mm 01.06.1999 1 as is where is immediately
109052 Nikon NSR-S203B 248 nm (KrF) excimer exposure system 200 mm 01.06.1998 1 as is where is immediately
105867 Nisshin 7 kgf/cm2 pressure gauge spares 1 as is where is immediately
105868 Nisshin 4 kgf/cm2 pressure gauge spares 1 as is where is immediately
105869 Nisshin 0-76 cmHg vacuum gauge spares 1 as is where is immediately
105870 Nisshin 0-1 kgf/cm2 pressure gauge spares 1 as is where is immediately
111104 Nissin EE04537 Arc Terminal Fitting - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111105 Nissin EE11140-0 Aperture (1) 40mm*38mm - 3000 3000(W) Spares 5 inquire
111106 Nissin 17107405-A Beam Dump Tile - 3000 3000(W) Spares 5 inquire
111107 Nissin EE05017-0 Gas Spacer - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
108911 Nissin Exceed 3000AH Medium Current Implanter 300 mm 01.05.2007 1 as is where is immediately
111232 NISSIN SOK30-2070 Crucible - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111233 NISSIN SOK30-2015 Gas Nozzle - 2300 2300 (Nissin) Spares 5 inquire
111234 NISSIN EE10918-0 Aperture - 3000 3000(W) Spares 5 inquire
111235 NISSIN SOK30-2109 I/S Suppression Cable - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111236 NISSIN SOK30-2001 Arc Chamber Plate, Upper - 2300 2300 (Nissin) Spares 5 inquire
93833 Nissin Exceed 2000 Medium Current Ion Implanter 3, 4 and 5 inch 01.05.1997 1 as is where is immediately
111265 NISSIN SOK30-2035 Reflector 2 - 2300 2300 (Nissin) Spares 5 inquire
111266 NISSIN SOK30-2091 Electrode 2 - 2300 2300 (Nissin) Spares 5 inquire
111267 NISSIN SOK30-2061 Oven Cap - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111268 NISSIN SOK30-2068 Oven Cap - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111269 NISSIN SOK30-2097 Insulator - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111270 NISSIN SOK30-2096 Electrode Spacer B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111271 NISSIN SOK30-2133 Gas Nozzle (4) - 3000 3000(Mo) Spares 5 inquire
111272 NISSIN SOK30-2141 Spacer C - 3000 3000(MO) Spares 5 inquire
111273 NISSIN SOK30-2137 Electrode 2 - 3000 3000(MO) Spares 5 inquire
111274 NISSIN EE-04973 Sheath Nut - 3000 3000(MO) 3000(W) Spares 5 inquire
111275 NISSIN SOK30-2124 Insulation Ring (1-4) - 3000 3000(MO) 3000(W) Spares 5 inquire
111276 NISSIN SOK30-2123 Reflector (4) - 3000 3000(MO) 3000(W) Spares 5 inquire
111277 NISSIN SOK30-2125 Insulation Ring (2-4) - 3000 3000(MO) 3000(W) Spares 5 inquire
111278 NISSIN SOKS03-2018 Arc Chamber Side Plate A - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) Spares 5 inquire
111279 NISSIN SOKS03-2019 Arc Chamber Side Plate B - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) Spares 5 inquire
111280 NISSIN EE04973-0 Sheath Nut - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111281 NISSIN EE05018-0 Spacer F - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111282 NISSIN EE03932-1 Arc Chamber - All Common 3000 (W) 9600 (IHC-R2) Spares 5 inquire
111089 Nissin SOK30-2140 Electrode 5 - 3000 3000(Mo) Spares 5 inquire
111090 Nissin SOK30-2094 Electrode 5 - 2300&3000 (W) 2300 (Nissin) Spares 5 inquire
111091 Nissin SOK30-2093 Electrode 4 - 2300&3000 (W) 2300 (Nissin) Spares 5 inquire
111092 Nissin SOK11-0112 Insulation Ring 1B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111093 Nissin SOK30-2139 Electrode 4 - 3000 3000(Mo) Spares 5 inquire
111094 Nissin SOK11-0123 Filament Feedthrough 1B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111095 Nissin SOK11-0111 Insulation Ring 1A - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111096 Nissin SOK11-0122 Filament Feedthrough 1A - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111097 Nissin NIE79483-2 Carbon Lining 2 - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111098 Nissin EE05021-0 Insulation Base (A) - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111099 Nissin NIE47348-2-B Aperture (2) : B Type - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111100 Nissin SOK30-2142 Electrode 6 - 3000 3000(Mo) Spares 5 inquire
111101 Nissin SOK40-2031 Insulator (A) - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) Spares 5 inquire
111102 Nissin SOK30-2089 Electrode 1-A - 2300 2300 (Nissin) Spares 5 inquire
111103 Nissin NIE48864-1-B Beam Dump Tile - 2300 2300 (2nd) Spares 5 inquire
83906 NITROGEN PRESSURE VALVE 30.11.1992 1 as is where is immediately
83628 NITSUKO BCR 2600/BCV 5050 POWER SUPPLY 3 as is where is immediately
108099 Nitto N286M Wafer Mounter 0 as is where is
110688 NITTO DR8500 II Wafer Taper 200 mm 01.06.1996 1 as is where is immediately
110689 NITTO HR8500 II Wafer De-Taper 200 mm 01.06.1996 1 as is where is immediately
110730 Nitto DR-8500-II Wafer taper 150-200 mm 01.02.2001 1 as is where is immediately
110731 Nitto HR-9300 Wafer De-taper 200-300 mm 01.02.2015 1 as is where is immediately
110732 Nitto HR-8500-II Wafer de-laminator 150-200 mm 01.07.2000 1 as is where is immediately
83597 Nitto TT1R2-1 teach pendant for robot TT1R2-1 spares 1 as is where is immediately
98486 Nitto MA 3000 II Wafer tape mounter and demounter 200 mm/300 mm 01.03.2007 1 as is where is immediately
32210 Nitto HR8500-2 INSTRUCTION MANUAL MANUAL 1 as is where is immediately
32212 Nitto DR8500-2 INSTRUCTION MANUAL MANUAL 2 as is where is
32214 Nitto 44941001 TAPE SPOOL PARTS 2 as is where is immediately
32216 Nitto 1603502 TAPE SPOOL PARTS 1 as is where is
70301 NK RM 120 REAL TIME MONITOR SPARES 1 as is where is immediately
110347 Nordson Matchbox Match SPARES 1 inquire
110348 Nordson Matchbox Match SPARES 1 inquire
110349 Nordson Matchbox Match SPARES 1 inquire
110350 Nordson Matchbox Match SPARES 1 inquire
110351 Nordson Matchbox Match SPARES 1 inquire
110352 Nordson Matchbox Match SPARES 1 inquire
110353 Nordson Matchbox Match SPARES 1 inquire
110354 Nordson Matchbox Match SPARES 1 inquire
110355 Nordson Matchbox Match SPARES 1 inquire
110356 Nordson Matchbox Match SPARES 1 inquire
110357 Nordson Matchbox Match SPARES 1 inquire
109495 NORDSON CW2 RF GENERATOR Spares 1 as is where is
109496 NORDSON DSS CW2 V2 RF GENERATOR Spares 1 as is where is
83937 Norgren M/50/EAN/5V electronic switch 1 as is where is immediately
108033 NOVA NOVASCAN 3090 P/N 390-10000-11 CMP metrology system 300 mm 1 as is where is immediately
109216 Nova NovaScan 3090 Next SA Optical CD Measurement 300mm 1 as is where is
109217 Nova NovaScan 3090 Next SA Optical CD Measurement 300mm 1 as is where is
109218 Nova NovaScan 3090 Next SA Optical CD Measurement 300mm 1 as is where is
109219 Nova NovaScan 3090 Next SA Optical CD Measurement 300mm 1 as is where is
109220 Nova V2600 Integrated CMP Endpoint / Film Measurement 300mm 1 as is where is
108967 NOVA T600 MMSR Ellipsometer for CD and thin film measurements 300 mm 01.01.2018 1 as is where is immediately
109283 NOVA MEASURING Novascan Novascan CMP Endpoint measurement unit 200 mm 01.06.2000 1 as is where is immediately
109284 NOVA MEASURING Novascan Novascan CMP Endpoint measurement unit 200 mm 01.06.2000 1 as is where is immediately
70300 Novascan 945-00666-00 HALOGEN LAMP SPARES 2 as is where is immediately
111366 Novellus Concept Two Altus W-CVD Nitride 2 Chamber 1 as is where is immediately
90146 NOVELLUS GAMMA 2100 asher / PR STRIP 30.11.2002 1 as is where is immediately
108581 NOVELLUS MB2 Metal PVD System 200 mm 01.05.1994 1 as is where is immediately
108582 NOVELLUS MB2 Metal PVD System 200 mm 01.05.1994 1 as is where is immediately
108583 NOVELLUS MB2 Metal PVD System 200 mm 01.05.1994 1 as is where is immediately
91218 NOVELLUS CONCEPT 3 GAMMA 2130 300 mm 1 as is where is
91219 NOVELLUS CONCEPT 3 GAMMA 2130 300 mm 1 as is where is
91220 NOVELLUS CONCEPT 3 SPEED NEXT 300 mm 31.05.2004 1 as is where is
91221 NOVELLUS CONCEPT 3 SPEED NEXT 300 mm 31.05.2006 1 as is where is
91223 NOVELLUS CONCEPT 3 SPEED NEXT 300 mm 31.05.2005 1 as is where is
103545 NOVELLUS CONCEPT 3 INOVA NExT 300 mm 1 as is where is
103546 NOVELLUS VECTOR PECVD Nitride 300 mm 31.05.2005 1 as is where is
108191 NOVELLUS 676 CMP System Polisher 200 mm 01.06.1997 1 as is where is
109221 Novellus Concept Three Altus WCVD (Chemical Vapor Deposition) 300mm 1 as is where is
109222 Novellus Concept Three Altus WCVD (Chemical Vapor Deposition) 300mm 1 as is where is
109223 Novellus VECTOR PECVD (Chemical Vapor Deposition) 300mm 1 as is where is
109224 Novellus VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition) 300mm 1 as is where is
108472 Novellus Concept Three Speed HDP CVD (Chemical Vapor Deposition) 300 mm 1 as is where is
109497 NOVELLUS TOP MATCH RF GENERATOR Spares 1 as is where is immediately
108475 Novellus SABRE 3D ECD (Electro Chemical Deposition) 300 mm 1 as is where is
108477 Novellus VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition) 300 mm 2 as is where is
106710 NOVELLUS VECTOR TEOS CVD SYSTEM 300 mm 01.06.2003 1 as is where is
106711 NOVELLUS VECTOR TEOS CVD SYSTEM 300 mm 01.06.2004 1 as is where is
106712 NOVELLUS VECTOR TEOS CVD SYSTEM 300 mm 01.06.2005 1 as is where is
106713 NOVELLUS VECTOR TEOS CVD SYSTEM 300 mm 01.06.2005 1 as is where is
106714 NOVELLUS VECTOR TEOS CVD SYSTEM 300 mm 01.06.2005 1 as is where is
109288 Novellus C3 Vector UV Cure UV Cure 300 mm 01.06.2006 1 as is where is immediately
111374 NP Test NPR-505L Power Plasma Reactor 1 as is where is immediately
111375 NP Test NPR-507L Power Plasma Reactor 1 as is where is immediately
109498 NPP NPG-15KM RF GENERATOR Spares 10 as is where is
109499 NPP NPG-3KG RF GENERATOR Spares 1 as is where is
109500 NPP NPG-8000H RF GENERATOR Spares 2 as is where is
109501 NPP NPG-8000H(VER 04) RF GENERATOR Spares 2 as is where is
109502 NPP NPG-8000H(VER 05) RF GENERATOR Spares 3 as is where is
109503 NPP NPG-8000H(VER 06) RF GENERATOR Spares 4 as is where is
109504 NPP NPG-8000H(VER 08) RF GENERATOR Spares 1 as is where is
109505 NPP NPM-10KCSM RF GENERATOR Spares 4 as is where is
109506 NPP NPM-10KCSMD RF GENERATOR Spares 3 as is where is
109507 NPP NPM-1250M RF GENERATOR Spares 3 as is where is
109508 NPP NPM-1250X RF GENERATOR Spares 8 as is where is
109509 NPP NPM-2KEM RF GENERATOR Spares 1 as is where is
109510 NPP NPM-3KCAT RF GENERATOR Spares 1 as is where is
109511 NPP NPR-804L RF GENERATOR Spares 26 as is where is
109512 NPP NPR-804LJ RF GENERATOR Spares 2 as is where is
109513 NPP NPRLC-400 RF GENERATOR Spares 10 as is where is
83552 NSK GLOBAL LTD MAEBASHI PLANT BALL SCREW W1201-112P 28.02.2005 4 as is where is immediately
103384 Nuclear Elettronica 314E 5/12 - 6/2 rev A Power Supply, 5V/12A - 6V/2A Spares 14 as is where is immediately
103385 Nuclear Elettronica 314E.100 REV A Power Supply, 5V/20A Spares 10 as is where is immediately
103387 Nuclear Elettronica 312E.44L REV A Dual Power Supply, +/- 15V / 1A Spares 4 as is where is immediately
83567 Numatics 225-272B Solenoid Valve 01.04.2009 1 as is where is
74178 Numatics 12DSA4 OA00030 Solenoid Valve 120V 01.04.2009 1 as is where is
74179 Numatics 227-833B valve 3 as is where is
74180 Numatics L22L-03 FILTER PNEUMATIC 01.01.2011 1 as is where is
83905 NUPRO SS-4BK-V51 REGULATOR PRESSURE Spares 1 as is where is immediately
83914 NUPRO SS-4R3A1- TUBE FITTINGS & VALVE 1 as is where is immediately
83916 NUPRO 7 MICRON 1 as is where is immediately
83917 NUPRO 107 1 as is where is immediately
108856 OAI Hybralign 400 Mask Aligner, for up ro 200mm Wafers 200 MM 1 inquire
103439 Oerlikon Clusterline 200 PVD cluster tool with 6 chambers (Used for Al, Ti, NiV and AG) 200 mm 01.05.2005 1 as is where is immediately
109058 Olympus AL110N-LMB6 Microscope autoloader only (Not including the microscope) 100 MM AND 150 mm 1 as is where is immediately
108857 OLYMPUS AL100-L8 Wafer Loader, 200mm, Parts Tool 200 MM 1 inquire
106825 Olympus AL110-LMB6 with MX51 wafer loader with Microscope 150mm 1 as is where is immediately
110696 Olympus AL100-L6 Wafer autoloader 100MM TO 150 MM 1 as is where is immediately
110697 Olympus AL100-LB6 Wafer autoloader 100MM TO 150 MM 2 as is where is immediately
83835 Olympus WHK 10X/20L-H EYEPIECES FOR TRINOCULAR MICROSCOPE Spares 2 as is where is immediately
80254 OLYMPUS DBAP-FA-Z SERVO DRIVER 30W 500 PPR 1 as is where is immediately
65934 Olympus BH2-UMA BRIGHTFIELD / DARKFIELD REFLECTED LIGHT ILLUMINATOR FOR THE BH SERIES MICROSCOPES SPARES 1 as is where is immediately
106190 Olympus LH50A Microscope illuminator, 50W 12 V spares 1 as is where is immediately
106201 Olympus BH3 (Parts) Camera adapter and illuminator for Microscope spares 01.06.2005 1 as is where is immediately
109035 Olympus AL110N-LMB6 Wafer Inspection Microscope with autoloader 100 MM AND 150 mm 1 as is where is immediately
102638 Olympus BA124L001 DC MOTOR W/ D500 GEAR HEAD spares 1 as is where is immediately
102639 Olympus BA124L001 DC MOTOR W/ D500 GEAR HEAD spares 1 as is where is immediately
108786 OLYMPUS BH-BHM Wafer Inspection Microscope 150 mm 1 as is where is immediately
80247 Olympus Optical DBAP-FA-Z GA Servo Driver 1 as is where is immediately
106896 Omniguard 860UV-IR UV-IR Fire detector, w/ mount Spares 2 as is where is immediately
13195 OMRON r88d-ua02ha servo driver 01.12.2003 1
84386 OMRON E3C-DM2R 2 M PHOTOELECTRIC SWITCH 2 m 3 as is where is immediately
84395 OMRON E3C-C PHOTOELECTRIC SWITCH 1 as is where is immediately
84396 OMRON E5CJ TEMPERATURE CONTROLLER MULTI RANGE 1 as is where is immediately
84397 OMRON E3S-X3CE4 TEMPERATURE CONTROLLER 2 as is where is immediately
83886 OMRON TL-W5WC2 PROXIMITY SWITCH 1 as is where is immediately
84398 OMRON E3XR-CE4 TEMPERATURE CONTROLLER 2 as is where is immediately
84399 OMRON E2E-C1C1 PROXIMITY SWITCH 1 as is where is immediately
84400 OMRON E3S-XE1 PHOTO ELECTRIC SWITCH 1 as is where is immediately
84401 OMRON E3C-JC4P PHOTO ELECTRIC SWITCH 1 as is where is immediately
84402 OMRON E3X-A11 PHOTO ELECTRIC SWITCH 1 as is where is immediately
84403 OMRON E3HT--DS3E2 PHOTO ELECTRIC SENSOR 1 as is where is immediately
84404 OMRON E3C--JC4 AMPLIFIER UNIT 1 as is where is immediately
84405 OMRON EE-SPW321 PHOTO MICROSENSOR 1 as is where is immediately
84406 OMRON E32-TC200A PHOTO ELECTRIC SWITCH 1 as is where is immediately
108858 ON SITE GAS SYSTEMS N-20 N2 Generator with 100 Gallon Storage Tank FACILITIES 1 inquire
21666 ONE AC CORP FMV 321S TRANSFORMER FOR KLA 7700 SERIES PSU SPARES 01.02.1996 1 inquire immediately
103547 ONTO WV320 MACRO INSPECTING 300 mm 31.05.2004 1 as is where is
109229 ONTO AutoEL III Ellipsometer 200mm 1 as is where is
109230 ONTO Axi 935 Macro-Defect 300mm 1 as is where is
109231 ONTO MetaPULSE 300 Film Thickness Measurement System 300mm 1 as is where is
109232 ONTO MetaPULSE 300 Film Thickness Measurement System 300mm 1 as is where is
109233 ONTO NSX 105 Macro-Defect 200mm 1 as is where is
108294 Orbotech Ultra Discovery VM IC inspection system for FC-BGA, PBGA, CSP and COF SMT 01.06.2011 1 as is where is
21123 ORIEL 68805 POWER SUPPLY 40-200 WATTS FOR MERCURY ARC LAMP FACILITIES 01.06.1992 1 as is where is immediately
83620 ORIEL 68805 ULTRAVIOLET LIGHT - LAMP HOUSING SPARES 1 as is where is immediately
13066 ORIENTAL MOTOR SEE COMMENTS GEAR HEADS 7 as is where is immediately
15900 ORIENTAL MOTOR 21K6GK-A2 INDUCTION MOTOR 2 as is where is immediately
83805 ORIENTAL MOTOR 21K6GN-A TW9 50602 1 as is where is immediately
83806 ORIENTAL MOTOR 51K40GN-AT 1 as is where is immediately
83807 ORIENTAL MOTOR PB204-101 2 as is where is immediately
83808 ORIENTAL MOTOR 5rk40gk-a2 MOTOR ELECTRIC 1 as is where is immediately
83809 ORIENTAL MOTOR 2IK6GK-A MOTOR ELECTRIC 01.12.1993 1 as is where is immediately
83811 ORIENTAL MOTOR XU9 MOTOR ELECTRIC FO FR CARRIER MOTOR 1 as is where is immediately
83823 ORIENTAL MOTOR 4GK15K MOTOR ELECTRIC 1 as is where is immediately
83825 ORIENTAL MOTOR MBM425-411 SPEED CONTROL MOTOR 1 as is where is immediately
83834 ORIENTAL MOTOR PH596-A STEPPING MOTOR SPARES 1 as is where is immediately
13206 ORIENTAL MOTOR 4LF45N-2 LINEAR HEAD SPARES 1 as is where is immediately
83875 ORIENTAL MOTOR UPH564-A 5 PHASE STEPPING MOTOR SPARES 1 as is where is immediately
83629 ORIENTAL MOTOR 4RK25RGK-AM REVERSIBLE MOTOR SPARES 1 as is where is immediately
83893 ORIENTAL MOTOR 4GN30K GEAR HEAD 1 as is where is immediately
69817 Oriental Motor VEXTA PK564-NAC Brand New 5-Phase Stepping Motor, 0.72 degree/step, with driver unit Spares 01.06.1996 1 as is where is immediately
13014 Oriental Motor 5RK40RGK-AM REVERSIBLE MOTOR, 40W 100V 50/60 HZ Spares 01.06.2000 1 as is where is
108859 ORTHODYNE 20B Heavy Wire Bonder ASSEMBLY 1 inquire
109069 OSCOR Blue 24 GHz Specrum Analyzer 0 as is where is immediately
109595 Oxford NGP 1000 PECVD 150 mm 01.06.2012 1 inquire
109097 Oxford Plasmalab System 100 PECVD TEOS with Load Lock 200 mm 01.05.2001 1 as is where is immediately
99398 Oxford Micro-etch 300 Dry Etcher 1 as is where is immediately
99399 Oxford Micro-dep 300 PE CVD system (For spares use) 1 as is where is immediately
91329 OXFORD 800+ RIE (Reactive Ion Etcher) 1 as is where is immediately
111358 OXFORD Plasmalab 100 ICP Etcher 1 as is where is immediately
110358 Oxford Instruments OPT AMU Match SPARES 1 inquire
111372 Oxford Plasmalab ICP 380 1 as is where is immediately
111373 Oxford Plasmalab 100 PECVD Etcher Asher 1 as is where is immediately
108192 PAC TECH SB2-SM SOLDER BALL BUMPER 200 mm 1 as is where is
80259 PALL GLF6101VF4 GASKLEEN GAS FILTER 6 as is where is immediately
83908 PALL GLF6101VF4 GAS FILTER 1 as is where is immediately
103819 Palomar 3500-III Die Bonder Assembly 1 as is where is immediately
83824 PANASONIC MF A 020LATNP AC SERVO MOTOR SPARES 1 as is where is immediately
77202 Panasonic M91C90GD4W1 AC Geared Motor (G Series) spares 01.12.2008 1 as is where is immediately
83838 PARKER 60 SERIES QUICK COUPLING FEMALE COUPLER BH4-60 Spares 9 as is where is immediately
84058 PATLITE SEFW-A SIGNAL TOWER 1 immediately
109514 PEARL GEN 80-19 RF GENERATOR Spares 1 as is where is
109515 PEARL M-30A2S-V RF GENERATOR Spares 1 as is where is
109516 PEARL RP-3000-100MK-PS RF GENERATOR Spares 13 as is where is
109517 PEARL RP-8000-2M RF GENERATOR Spares 1 as is where is
109518 PEARL ZDK-926-100M RF GENERATOR Spares 13 as is where is
108861 PEARL KOGYO ZDK-916L2X Automatch Controller, Brand New, 4ea Available SPARES 1 inquire
84232 Pepperl Fuchs OBE5000-18GM70-SE5 proximity sensor Spares 1 as is where is immediately
84234 pepperl&fuchs OBE5000-18GM70-E5-V1 Proximity sensor Spares 1 as is where is immediately
111382 PERKIN ELMER 2400 Sputtering system 1 as is where is immediately
111357 PERKIN ELMER 2400-8SA Sputter system 1 as is all rebuilt
108862 PERKIN-ELMER 2400 Sputtering System 1 inquire
108636 PFEIFFER ADS602P TOP Vacuum Pump PUMP 2 as is where is
108637 PFEIFFER ADS602P Vacuum Pump PUMP 1 as is where is
108638 PFEIFFER ADS602H Vacuum Pump PUMP 8 as is where is
108639 PFEIFFER ADS1202H Vacuum Pump PUMP 131 as is where is
84073 Pfeiffer PTR26761A Pirani Gauge, D-35614 TPR265 Spares 01.06.2001 2 as is where is immediately
109559 Philips PHI 680 Auger Nanoprobe Laboratory 01.05.1998 1 as is where is immediately
109077 Picosun P1000 High Volume ALD system for batch coating of parts Up to 300 mm 01.06.2020 1 as is where is immediately
83587 Pittman GM9213E081 GEAR motor 19.1 vdc 65.5:1 RATIO Spares 1 as is where is immediately
91330 Plasma Therm Wafer/ Batch 740 DUAL PLASMA ETCH AND RIE 4" 1 as is where is
91331 Plasma Therm Wafer/ Batch 740 DUAL PLASMA ETCH AND RIE 4" 1 as is where is
108863 PLASMA-THERM 790 Reactive Ion Etcher, Refurbished - Call for Details 1 inquire
108864 PLASMA-THERM SLR770 Inductively Coupled Etcher with Load-Lock, Refurbished - Call for Details 1 as is all rebuilt immediately
109519 PLASMART PF05100-3B36S RF GENERATOR Spares 6 as is where is
109520 PLASMART PF05100-3B36S RF GENERATOR Spares 1 as is where is
109521 PLASMART PFDUAL-6B36A RF GENERATOR Spares 1 as is where is
106759 Plasmatherm SLR 770 Dual Chamber R.I.E. 200 mm 01.06.1994 1 as is where is immediately
109586 Plasmatherm SLR 720 RIE Etcher 150 mm 1 inquire
110613 PlasmaTherm SLR 740 Dual Chamber RIE / Plasma etch 200 mm 01.04.1999 1 as is where is immediately
109599 Plasmatherm 790 Reactive Ion Etcher 200 mm 1 inquire
106953 PLASMATHERM LAPECVD Large Area PECVD system, used for SiO and SiN process depositions 150 mm 01.06.2015 4 as is where is immediately
110683 Plasmos SD2000 Thin Film Thickness Measurement System / Ellipsometer 200 mm 01.06.1998 1 as is where is immediately
83933 PM500 09 P MASK RETICLE 1 as is where is immediately
31246 PMS Liquitrack 776200 Non volatile residual Monitor for water-quality checking facilities 01.10.1999 1 as is where is immediately
83615 PMS MICRO LPC-210 MICROLASER PARTICLE COUNTER MALVERN WR 13 LN 31.01.1989 1 as is where is immediately
54210 Poly Design Inc. Custom Heated Quartz Boat storage / drying system 200 mm 31.05.2005 1 as is where is immediately
108714 PolyFlow Triple Tower II Quartz Cleaner 200 mm 1 as is where is immediately
110733 POWATEC 850 Manual Wafer Mounter 01.06.2000 1 as is where is immediately
83565 Power Launch (?) FU100F Valve tube electron tube 01.01.2009 2 as is where is immediately
69870 Power One HPM5A2A2KS234 5V Switching Power Supply TEST 31.05.1998 5 as is where is immediately
69872 Power One HPM5C1C1E1E1H1S240 Switching Power Supply TEST 01.06.1998 1 as is where is immediately
69873 Power One HPM5F2F2KS233 2V Switching Power Supply TEST 01.06.1998 2 as is where is immediately
69874 Power One HPM5E2E2KS228 28 V Switching Power Supply TEST 31.05.1998 2 as is where is immediately
69875 Power One SPM2E1E1S304 28 V Switching Power Supply TEST 31.05.1998 1 as is where is immediately
83506 POWERTEC 9J5-360-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.04.1994 1 as is where is immediately
83507 POWERTEC ASTEC 9J8-200-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 31.01.1994 1 as is where is immediately
83508 POWERTEC ASTEC 9K2-300-372 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.04.1994 1 as is where is immediately
83509 POWERTEC ASTEC 9J12-130-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 31.05.1994 1 as is where is immediately
83510 POWERTEC ASTEC 6C32-EE-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.06.1994 1 as is where is immediately
91356 PSC DES-220-456AVL ASHER 200 mm 1 as is where is immediately
94477 PSK TERA21 Asher 300 mm 31.05.2002 1 as is where is
98833 PSK SUPRA3 Asher Dry Strip 300 mm 31.10.2006 1 as is where is
108584 PSK TERA21 Asher 300 mm 01.05.2006 1 as is where is immediately
108586 PSK DAS 2000 Asher 200 mm 01.05.2004 1 as is where is immediately
100927 PSK TERA21 Asher/Stripper 300 mm 31.05.2006 1 as is where is
103548 PSK SUPRA3 Dry Strip 300 mm 31.05.2006 1 as is where is
103549 PSK TERA21 PR Ashing 300 mm 1 as is where is
103550 PSK TERA21 PR Ashing 300 mm 1 as is where is
103551 PSK TERA21 PR Ashing 300 mm 1 as is where is
108193 PSK TERA21 PR Ashing 300 mm 01.06.2003 1 as is where is
109225 PSK Supra IV Stripper/Asher 300mm 1 as is where is
109226 PSK Supra IV Stripper/Asher 300mm 1 as is where is
109227 PSK Supra IV Stripper/Asher 300mm 1 as is where is
109228 PSK Supra IV Stripper/Asher 300mm 1 as is where is
109564 PSK SUPRA III PHOTORESIST STRIP 300 mm 01.05.2006 1 as is where is immediately
83928 PULNIX TM-7EX VIDEO CAMERA WITH FUJI NF35A-2 LENS 01.12.1998 1 as is where is immediately
74251 Qualiflow AFC 50D Mass Flow Controller;Meter,Spectrometer,Gas Mix, control spares 01.11.2009 1 as is where is immediately
110734 Ramgraber SST Solvent wet with heater and spin dryer Up to 300 mm 01.06.2016 1 as is where is immediately
91552 RAYTEX RXW-800 EDGE SCAN 200 mm 31.05.2008 1 as is where is
98489 Raytex RXW-0826SFIX-SMIF edge scanner 200mm 1 as is where is
108479 Raytex RXW-1227 EdgeScan Edge Defect 1 as is where is
84239 Raytheon ELC-14947 Directed light assembly, for ESI Spares 1 as is where is immediately
108787 RECIF IDLW8R Wafer ID Reader - Tabletop for 200mm Wafers 200 mm 2 as is where is immediately
108788 RECIF SPP8 Wafer Transfer for 200mm Wafers 200 MM 1 as is where is immediately
109119 Rectif Sort Batch Flip AHMS Sorter 300 mm 01.06.2010 14 as is where is immediately
110761 RECTIF G3 Wafer Sorter 300 mm 2 as is where is immediately
110792 RECTIF SRT300F01 Wafer Sorter with 4 wafer loading ports 300 mm 01.07.2005 1 as is where is immediately
110794 RECTIF SRT300F34 Wafer Sorter with 2 wafer loading ports 300 mm 01.07.2005 1 as is where is immediately
77159 Renishaw RGH24X30A00A Encoder Head NEW IN BOX Spares 1 as is where is immediately
108329 ReVera RVX1000 Film Thickness Measurement System 300 mm 1 as is where is immediately
108480 ReVera RVX1000 Film Thickness Measurement System 1 as is where is
110359 RF Global Solutions RF Services Match controller Miscellaneous SPARES 1 inquire
110360 RF Services ICPSM Match SPARES 1 inquire
110361 RF Services ICPSM Match SPARES 1 inquire
110362 RF Services ICPSM Match SPARES 1 inquire
110363 RF Services ICPSM Match SPARES 1 inquire
110364 RF Services LF Splitter Miscellaneous SPARES 1 inquire
110365 RF Services LF Splitter Miscellaneous SPARES 1 inquire
110366 RF Services LF Splitter Miscellaneous SPARES 1 inquire
110367 RF Services LF Splitter Miscellaneous SPARES 1 inquire
110368 RF Services LF Splitter Miscellaneous SPARES 1 inquire
110369 RF Services RFS 1000 Match SPARES 1 inquire
110370 RF Services RFS 1000 Match SPARES 1 inquire
110371 RF Services RFS 1000 Match SPARES 1 inquire
110372 RF Services RFS 1000 Match SPARES 1 inquire
110373 RF Services RFS 1000 Match SPARES 1 inquire
110374 RF Services RFS 1000 Match SPARES 1 inquire
110375 RF Services RFS 1000 Match SPARES 1 inquire
110376 RF Services RFS 1000 Match SPARES 1 inquire
110377 RF Services RFS 1000 Match SPARES 1 inquire
110378 RF Services RFS 1000 Match SPARES 1 inquire
110379 RF Services RFS 1000 Match SPARES 1 inquire
110380 RF Services RFS 1000 Match SPARES 1 inquire
110381 RF Services RFS 1000M Match SPARES 1 inquire
110382 RF Services RFS 2502 Match SPARES 1 inquire
110383 RF Services RFS 3002 ICP Match SPARES 1 inquire
110384 RF Services RFS 3002A Match SPARES 1 inquire
110385 RF Services RFS 3002A ICP Match SPARES 1 inquire
110386 RF Services RFS 3006 Match SPARES 1 inquire
110387 RF Services RFS 3009 ICPSM Match SPARES 1 as is where is immediately
110388 RF Services RFS 3018 Match SPARES 1 inquire
110389 RF Services RFS 500 Match SPARES 1 inquire
110390 RF Services RFS 500/700 Match SPARES 1 inquire
110391 RF Services RFS 500/700 Match SPARES 1 inquire
110392 RF Services RFS 500/700 Match SPARES 1 inquire
110393 RF Services RFS 500/700 Match SPARES 1 inquire
110394 RF Services RFS 500/700 Match SPARES 1 inquire
110395 RF Services RFS 500/700 Match SPARES 1 inquire
110396 RF Services RFS 500/700 Match SPARES 1 inquire
110397 RF Services RFS 700 Match SPARES 1 inquire
110398 RF Services RFS 712 Match SPARES 1 inquire
110399 RF Services Splitter 1k Miscellaneous SPARES 1 inquire
110400 RF Services Splitter 1k Miscellaneous SPARES 1 inquire
107758 RF Services RFS 500/700 RF Match Spares 1 as is where is immediately
107796 RFPP LF-5 RF Generator Spares 1 as is all rebuilt immediately
110401 RFPP AM-10 Match SPARES 1 inquire
110402 RFPP AM-10 Match SPARES 1 inquire
110403 RFPP AM-10 Match SPARES 1 inquire
110404 RFPP AM-10 Match SPARES 1 inquire
110405 RFPP AM-10 Match SPARES 1 inquire
110406 RFPP AM-10 Match SPARES 1 inquire
110407 RFPP AM-10 Match SPARES 1 inquire
110408 RFPP AM-10 Match SPARES 1 inquire
110409 RFPP AM-10 Match SPARES 1 inquire
110410 RFPP AM-10 Match SPARES 1 inquire
110411 RFPP AM-10 Match SPARES 1 inquire
110412 RFPP AM-10 Controller Miscellaneous SPARES 1 inquire
110413 RFPP AM-20 Match SPARES 1 inquire
110414 RFPP AM-20 Match SPARES 1 inquire
110415 RFPP AM-30 Controller Miscellaneous SPARES 1 inquire
110416 RFPP AM-5 Match SPARES 1 inquire
110417 RFPP AM-5 Match SPARES 1 inquire
110418 RFPP AM-5 Controller Miscellaneous SPARES 1 inquire
110419 RFPP AMN Match SPARES 1 inquire
110420 RFPP AMNPS-2A Controller Miscellaneous SPARES 1 inquire
110421 RFPP AMNPS-2A Controller Miscellaneous SPARES 1 inquire
110422 RFPP AMNPS-2A Controller Miscellaneous SPARES 1 inquire
110423 RFPP AMNPS-2A Controller Miscellaneous SPARES 1 inquire
110424 RFPP AMNPS-2A Controller Miscellaneous SPARES 1 inquire
110425 RFPP AMNPS-2A Controller Miscellaneous SPARES 1 inquire
110426 RFPP AMNPS-2A Controller Miscellaneous SPARES 1 inquire
110427 RFPP ICP20-P Generator SPARES 1 inquire
110428 RFPP LF-5 Generator SPARES 1 inquire
110429 RFPP LF-5 Generator SPARES 1 inquire
110430 RFPP LF-5 Generator SPARES 1 inquire
110431 RFPP LF-5 Generator SPARES 1 inquire
110432 RFPP LF-5 Generator SPARES 1 inquire
110433 RFPP LF-5 Generator SPARES 1 inquire
110434 RFPP LF-5S Generator SPARES 1 inquire
110435 RFPP Match Match SPARES 1 inquire
110436 RFPP RF 25M Generator SPARES 1 inquire
110437 RFPP RF 30H Generator SPARES 1 inquire
110438 RFPP RF 50 Generator SPARES 1 inquire
110439 RFPP RF-20H Controller Miscellaneous SPARES 1 inquire
110440 RFPP RF-20M Generator SPARES 1 inquire
110441 RFPP RF20S Generator SPARES 1 inquire
110442 RFPP RF-20S Generator SPARES 1 inquire
110443 RFPP RF-20S Generator SPARES 1 inquire
110444 RFPP RF-20S Generator SPARES 1 inquire
110445 RFPP RF-20S Generator SPARES 1 inquire
110446 RFPP RF-20S Generator SPARES 1 inquire
110447 RFPP RF25M Generator SPARES 1 inquire
110448 RFPP RF30H Generator SPARES 1 inquire
110449 RFPP RF-30S Generator SPARES 1 inquire
110450 RFPP RF-30S Generator SPARES 1 inquire
110451 RFPP RF-30S Generator SPARES 1 inquire
110452 RFPP RF-5S Generator SPARES 1 inquire
110453 RFPP RF-5S Generator SPARES 1 inquire
110454 RFPP RF-5S Generator SPARES 1 inquire
110455 RFPP RF-5S Generator SPARES 1 inquire
110456 RFPP RF-5S Generator SPARES 1 inquire
110457 RFPP RF-5S Generator SPARES 1 inquire
110458 RFPP RF-5S Generator SPARES 1 inquire
110459 RFPP RF-5S Generator SPARES 1 inquire
109522 RFPP 7600001010 RF GENERATOR Spares 1 as is where is
109523 RFPP 751031301F RF GENERATOR Spares 2 as is where is immediately
109524 RFPP I22050001AMNPS RF GENERATOR Spares 1 as is where is
107768 RFPP AM-10 RF Match Spares 1 as is where is immediately
110460 RFVII ATN-10 Match SPARES 1 inquire
110461 RFVII ATN-50 Match SPARES 1 inquire
110462 RFVII PT-II-CE Controller Miscellaneous SPARES 1 inquire
110463 RFVII PT-II-CE Controller Miscellaneous SPARES 1 inquire
110464 RFVII RF-20 Generator SPARES 1 inquire
106868 Riber ® MBE 32 Molecular Beam Epitaxy system for R and D Laboratory 01.03.1999 1 as is where is immediately
108865 RIGAKU V300 Total Reflection Xray Fluoroescence Spectrometer 1 inquire
108194 RIGAKU 3640 WAFER/DISK Analyzer 200 mm 01.06.2000 1 as is where is immediately
91557 RIGAKU XRF3640 (Handle include) Wafer/ Disk Analyzer 200 mm 01.05.1995 1 as is where is
98731 Rofin PowerLine D-100 (RSM, Sx) Fiber Laser for solar cell edge isolation Solar 01.06.2008 1 as is where is immediately
106985 Rofin Powerline L100 SHG YAG laser Ablation system 532 nm Solar 01.06.2014 1 as is where is immediately
53053 Rorze RR304L90 Wafer handling robot, with 5 ceramic robot blades 200 mm 01.06.1995 1 as is where is immediately
106826 Rorze RV201 Load Port 300mm 10 inquire
106827 Rorze RR701L1521-3A3-111-3 Dual arm Atmospheric wafer handling robot NA 1 as is where is
106828 Rorze RR713L1521-3A3-E13(E11)-1 Dual arm Atmospheric wafer handling robot 200mm 1 inquire
106829 Rorze RR717L1521 Dual arm Atmospheric wafer handling robot 300mm 1 inquire
106830 Rorze Wafer sorter with RR717L1521 robot wafer sorter for 300mm wafer 300mm 3 inquire immediately
103552 RORZE RASS300F Wafer Sorter / 4Foup type 300 mm 31.05.2006 1 as is where is
83881 Rorze BERC-RD023MS 2P MICRO STEP DRIVER VF C5622 3 as is where is immediately
91574 RORZE RSC242 Wafer Sorter / 4Foup type 300 mm 31.05.2002 1 as is where is immediately
91575 RORZE RSC242 Wafer Sorter / 4Foup type 300 mm 31.05.2003 1 as is where is immediately
98490 Rorze RR701L1521-3A3-111-2 Dual arm Atmospheric wafer handling robot 200mm 1 as is where is
98491 Rorze RR701L90-Z20-616 Dual arm Atmospheric wafer handling robot 200mm 1 as is where is
106188 Rorze RR713L1521-3A3-E11-0 Dual arm Atmospheric wafer handling robot with controller Spares 1 as is where is 1 month
81835 Rosemont Analytical 1055-01-11-22 PH Monitor Solucomp II 1 as is where is immediately
56813 Roth & Rau SiNA (Spare Parts) Spare Parts from PECVD system for deposition of Silicon Nitride Solar 01.10.2010 1 as is where is immediately
108866 ROYCE INSTRUMENTS ASTM-2kg Die Shear Load Cell SPARES 1 inquire
108867 ROYCE INSTRUMENTS ASTM-50g Wire Pull Load Cell SPARES 1 inquire
108868 ROYCE INSTRUMENTS ASTM-200g Die Shear Load Cell SPARES 1 inquire
108869 ROYCE INSTRUMENTS ASTM-200g Wire Pull Load Cell SPARES 1 inquire
108870 ROYCE INSTRUMENTS ASTM-200g Wire Pull Load Cell SPARES 1 inquire
108871 ROYCE INSTRUMENTS STM-20kg Die Shear Load Cell SPARES 1 inquire
108872 ROYCE INSTRUMENTS System 550 100K Die Shear/Bond Pull Tester with RPTM 50g Wire Pull Load Cell, ASTM-200g, ASTM 2K, STM 20K & STM 100K Shear Test Load Cells, Collection of Workholders SPARES 1 inquire
108873 ROYCE INSTRUMENTS Die Shear Workholder SPARES 1 inquire
108034 RUDOLPH AXI-S930B Macro Defect Inspection 300 mm 01.01.2007 1 as is where is
109570 Rudolph WaferView 320 Macro Wafer Defect Inspection System 300 mm 1 as is where is immediately
94478 RUDOLPH FE-3 Focus Ellipsometer 200 mm 1 as is where is
94479 RUDOLPH FE-4D Focus Ellipsometer 200 mm 1 as is where is
98835 RUDOLPH AXI-S Macro Wafer Inspection 300 mm 01.06.2004 1 as is where is immediately
98837 RUDOLPH MP1-300XCU Film Thickness Measurement System 300 mm 30.04.2008 1 as is where is immediately
108587 RUDOLPH WV320 Wafer Inspection System 300mm 1 as is where is immediately
100928 RUDOLPH AXI-S Macro Inspection System 300 mm 31.05.2003 1 as is where is
100929 RUDOLPH FE-7 Ellipsometer 200 mm 31.05.1996 1 as is where is
100930 RUDOLPH MP300 Film thickness measurement 200 mm 31.05.2007 1 as is where is
100931 RUDOLPH MP300 Film thickness measurement 200 mm 31.05.2009 1 as is where is
100932 RUDOLPH S3000A Focused Beam Ellipsometer 200 mm 31.05.2012 1 as is where is
106831 Rudolph MP200XCU Cu Film thickness measurement 200mm 1 inquire
106832 Rudolph MP300 Metal Film thickness measurement 300mm 1 inquire
106833 Rudolph MP300 XCu Cu Film thickness measurement 300mm 2 inquire
106871 RUDOLPH NSX 105 Automated Wafer, Die and Bump Inspection System 300 mm 01.06.2008 1 as is where is immediately
103553 RUDOLPH AXI-S Macro Inspection 300 mm 31.05.2004 1 as is where is
103554 RUDOLPH AXI935D AVI 300 mm 1 as is where is
103555 RUDOLPH MP3 300XCU FLIM THICKNESS MEASUREMENT SYSTEM 300 mm 1 as is where is immediately
110735 Rudolph MetaPulse 200 Metal film measurement system 150-200 mm 01.06.2006 1 as is where is immediately
110736 Rudolph MetaPulse 200XCu Metal film measurement system 150-200 mm 01.06.2006 1 as is where is immediately
108195 RUDOLPH META PULSE 200 Film thickness measurement 200 mm 01.06.1999 1 as is where is
108196 RUDOLPH META PULSE 200 Film thickness measurement 200 mm 01.06.2005 1 as is where is
108197 RUDOLPH META PULSE 200X CU Film thickness measurement (Including HDD) 200 mm 01.06.2003 1 as is where is
108198 RUDOLPH META PULSE 200X CU Film thickness measurement (Including HDD) 200 mm 01.06.2001 1 as is where is
91559 RUDOLPH AXI_S Macro Inspection System 300 mm 31.05.2005 1 as is where is
108199 RUDOLPH META PULSE II 200X CU Film thickness measurement 200 mm 01.06.2008 1 as is where is
108711 Rudolph Sonus 7800 Acoustic Metrology and Defect Detection System 300 MM 01.06.2015 1 inquire
110759 Rudolph S300 Ultra II METROLOGY 300 mm 1 as is where is immediately
91560 RUDOLPH AXI_S Macro Inspection System 300 mm 31.05.2005 1 as is where is
108200 RUDOLPH MP200 Film thickness measurement 200 mm 01.06.2002 1 as is where is
91561 RUDOLPH AXI_S Macro Inspection System 300 mm 31.05.2006 1 as is where is
91563 RUDOLPH Meta Pulse Film Metrology 200 mm 31.05.2005 1 as is where is
91566 Rudolph WS3840 3D Bump Metrology 300 mm 31.05.2010 1 as is where is immediately
91567 RUDOLPH META PULSE 200 Surface Film Metrology 200 mm 31.05.2002 1 as is where is immediately
106420 Rudolph NSX 115 Automated Defect Inspection 200 mm 1 as is where is immediately
106421 Rudolph NSX 95 Automated Macro Defect Inspection 150 mm/200 mm 1 as is where is immediately
106422 Rudolph NSX-105 Automated Defect Inspection 150 mm/200 mm 1 as is where is immediately
106424 Rudolph NSX-95 Automated Macro Defect Inspection 150 mm/200 mm 1 as is where is immediately
106425 Rudolph NSX-95 Manual Macro Wafer Defect Inspection 150 mm/200 mm 1 as is where is immediately
108482 Rudolph Axi 935 Macro-Defect 1 as is where is
108483 Rudolph Matrix S-300 Ellipsometer 300 mm 1 as is where is
108484 Rudolph MetaPULSE 300 Film Thickness Measurement System 300 mm 3 as is where is
108485 Rudolph NSX 105 Macro-Defect 300 mm 8 as is where is
110795 Rudolph S300D Ultra II Thin Film Measurement Tool / Ellipsometer 300 mm 01.05.2005 1 as is where is immediately
106715 RUDOLPH MP3_300A METAL THICKNESS MEASUREMENT 300 mm 01.06.2012 1 as is where is
106716 RUDOLPH MP-300 Film thickness measurement 300 mm 01.06.2005 1 as is where is
106717 RUDOLPH MP1-300 Film Thickness Measurement 300 mm 01.06.2003 1 as is where is
106718 RUDOLPH MP1-300 Film Thickness Measurement 300 mm 1 as is where is
106719 RUDOLPH MP1-300XCU Film thickness measurement system 300 mm 01.06.2008 1 as is where is
106720 RUDOLPH NSX 105 MACRO DEFECT INSPECTION SYSTEM 300 mm 01.06.2008 1 as is where is immediately
106721 RUDOLPH S3000A FBE(focused beam laser ellipsometry) 300 mm 01.06.2010 1 as is where is
106722 RUDOLPH S3000A FBE(focused beam laser ellipsometry) 300 mm 01.06.2011 1 as is where is
106723 RUDOLPH S3000A FBE(focused beam laser ellipsometry) 300 mm 1 as is where is
106724 RUDOLPH S3000A FBE(focused beam laser ellipsometry) 300 mm 01.06.2011 1 as is where is
106725 RUDOLPH S3000S FBE(focused beam laser ellipsometry) 300 mm 01.06.2010 1 as is where is
106726 RUDOLPH S3000S FBE(focused beam laser ellipsometry) 300 mm 1 as is where is
106727 RUDOLPH WS2500 Wafer Inspection System 200 mm 01.06.2003 1 as is where is
106728 RUDOLPH WS2500 Wafer Inspection System 200 mm 01.06.2003 1 as is where is
106729 RUDOLPH WS2500 Wafer Inspection System 200 mm 01.06.2006 1 as is where is
106730 RUDOLPH WS2500 Wafer Inspection System 200 mm 01.06.2002 1 as is where is
106731 RUDOLPH WV320 Macro Inspection 300 mm 01.06.2006 1 as is where is
106732 RUDOLPH MP200 Film thickness measurement 200 mm 01.06.2003 1 as is where is
106733 RUDOLPH MP200 Film thickness measurement 200 mm 01.06.1999 1 as is where is
106734 RUDOLPH WV320 Macro Defect Inspection System 300 mm 1 as is where is
109550 Rudolph AXI-S Macro Defect Inspection System 300 mm 01.06.2006 1 as is where is immediately
106735 RUDOLPH WV320 Macro Defect Inspection System 300 mm 1 as is where is
98296 RUDOLPH Meta Pulse 300 Film thickness measurement 300 mm 1 as is where is
98297 RUDOLPH Meta Pulse 300 Film thickness measurement 300 mm 1 as is where is
98298 RUDOLPH MP1-300 Film thickness measurement 300 mm 31.05.2007 1 as is where is
110465 Sairem MU Controller Miscellaneous SPARES 1 inquire
79602 Salon Teknopaja OY PWB Printed Wire Board Level Drop Tester with Solder Joint Reliability tester SMT 31.05.2004 1 as is where is immediately
98495 SAMCO PD3800 LED – pecvd system 50mm to 200mm 31.10.1997 2 as is where is immediately
98496 SAMCO RIE-212 IPC LED Reactive Ion Etcher 50/100mm 1 as is where is
106736 SAMCO RIE-300NR Reactive Ion Etching System 300 mm 01.06.2006 1 as is where is
106737 SAMCO RIE-300NR Reactive Ion Etching System 300 mm 01.06.2006 1 as is where is
84373 SAMSUNG MR16R0828AN1-CKB 128 MB/8 RAM 2 as is where is immediately
79889 Sanitas EG Multilevel EPROM Programmer Electronics Test and Measurement 1 as is where is immediately
86303 Sankei Giken TCW-12000 CV Process Module Chiller Facilities 01.07.1996 1 as is where is immediately
106206 SANYO DENKI RBA2C-202 SERVO DRIVER MODULE Spares 2 as is where is immediately
83634 SCANLAB RTC 2 Type XY-01 PC INTERFACE BOARD 20-TVS 31.07.2006 2 as is where is immediately
83836 SCFH AIR 100 PSIG 1 as is where is immediately
100709 Schroff PSM 115 Power Supply Unit 01.05.2007 10 as is where is
106661 SDI FAAST 230-DP+SPV+SLIC CARRIER LIFETIME MEASUREMENT 200 mm 1 as is where is
107012 SEC Semiconductor Equipment Corp. 3100 Manual wafer taper 150 mm 01.07.2002 2 as is where is immediately
106740 SECRON IP 300 Prober 300 mm 1 as is where is
84387 SEEKA UM-T50DT PHOTO SENSOR 5 as is where is immediately
21521 Seiko SDI 4000 operation manual for SDI 4000 Semiconductor process evaluation SEM manual 01.06.1995 1 as is where is immediately
52191 Seiko Seiki SCU 301H Turbo Pump Controller Unit spares 01.06.1999 1 as is where is immediately
71921 Seiko Seiki SCU-1000C Controller for Seiko Seiki STP 1000C Turbo pump spares 01.10.1999 1 as is where is immediately
20268 SEKISUI VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box 200 mm 01.06.2006 13 as is where is immediately
109560 SELA/Camtek EM3i Saw for TEM sample preparation ASSEMBLY 01.05.2011 1 as is where is
77185 Semco C60 Cleanstar PFA Valve C608075305A12HPW C60 HPW 1 as is where is immediately
110466 Semco HVS 1500 Controller Miscellaneous SPARES 1 inquire
110467 Semco HVS 2K Controller Miscellaneous SPARES 1 inquire
77189 Semco 156 MM WAFER BOAT Solar Wafer (156mm) Quartz Rack/Holder for a Furnace 156mm 01.06.2010 6 as is where is immediately
77191 Semco Wafer Boat Solar Wafer (156mm) Quartz wafer boat 156mm 9 as is where is immediately
77198 Semco wafer boat Solar Wafer (156mm square) Quartz Rack/Holder for a Furnace 156mm 3 as is where is immediately
77208 Semco Wafer Boat Solar Wafer Quartz wafer boat, 5" 156mm 3 as is where is immediately
108588 SEMICS OPUS2 FULLY AUTOMATED PROBER 300mm 01.06.2007 1 as is where is immediately
108589 SEMICS OPUS2 FULLY AUTOMATED PROBER 300mm 01.06.2007 1 as is where is immediately
108590 SEMICS OPUS2 FULLY AUTOMATED PROBER 300mm 01.06.2007 1 as is where is immediately
108591 SEMICS OPUS2 FULLY AUTOMATED PROBER 300mm 01.06.2007 1 as is where is immediately
108592 SEMICS OPUS3 FULLY AUTOMATED PROBER 300mm 01.06.2011 1 as is where is immediately
108593 SEMICS OPUS3 FULLY AUTOMATED PROBER 300mm 01.06.2011 1 as is where is immediately
108035 SEMILAB PMR_3000 Dose Monitoring 300 mm 1 as is where is
54208 SemiNet Automation Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Wafer Stocker 200 mm 01.10.2007 1 as is where is immediately
109585 Semitool Symphony 2300 Spray Acid Tool (1-chamber, 300mm) 300 mm 1 inquire
109587 Semitool Equinox Electroplating System 1 inquire
109591 Semitool Sirius HydrOzone wafer cleaning system 1 inquire
109592 Semitool SST 421 Spray Solvent Tool 200 mm 1 inquire
106527 Semitool SAT2081D2PCCU OEM SAT Spray Acid Etch Tool Clamshell 1 as is where is immediately
108874 SEMITOOL WST 406MG Wafer Spray Solvent Tool 1 inquire
84342 Semitool ST-921R-AA Spin Rinse Dryer 1 as is where is immediately
84351 Semitool ST-240 Spin Rinse Dryer 1 as is where is immediately
84364 Semitool PA7230M SRD Rotor spares 1 as is where is immediately
84365 Semitool A72-20M SRD Rotor Spares 1 as is where is immediately
18839 SEMITOOL 2080S(OAZP)CBE Equipment manual 1 as is where is
108202 SEMITOOL EO212PM Cu PLTNG 01.06.1998 1 as is where is
108203 SEMITOOL ST 470 1 as is where is
108204 SEMITOOL WSST Water Soluble Strip Tool 01.06.1996 1 as is where is
108205 SEMITOOL WST305M Spin Dry 1 as is where is
108974 Semitool PSC 101 Double Stack SRD (Set up for solar wafer use) 100 mm, M0, M2 01.02.1999 1 as is where is immediately
106946 Semitool ST-921R-AA Spin Rinse Dryer 100 mm 2 as is where is immediately
108486 Semitool Scepter Solvent Batch Wafer Processing 1 as is where is
109285 Semitool Equinox Cu Cu Plating tool with 4 plating chambers and 5 preclean chambers 200 mm 01.06.1999 1 as is where is immediately
108715 Semix Tazmo SOG track 150 mm 1 inquire immediately
78169 Sensarray 1530D-8-0023 Process Probe Instrumented Wafer 200 mm 31.05.2003 1 as is where is immediately
78170 Sensarray 1530D-8-0023 Process Prober Instrumented Wafer 200 mm 01.07.2003 1 as is where is immediately
109074 Sensofar PLu Neox Optical 3D Surface Profiler with motorized XY stage, 4 Lens (10X, 20X, 50X, 100X), anti vibration table Optical 3D Surface Profiler 01.06.2012 1 as is where is
108696 SENTECH Senduro 300 Thin Film measurement Up to 300 MM 01.06.2008 1 inquire
107898 Seren L301 RF Generator Spares 1 as is where is immediately
107903 Seren L601 RF Generator Spares 1 as is where is immediately
110468 Seren AT20 Match SPARES 1 inquire
110469 Seren AT30 Match SPARES 1 inquire
110470 Seren AT35 Match SPARES 1 inquire
110471 Seren AT35DSE2 Match SPARES 1 inquire
110472 Seren AT35VFC Match SPARES 1 inquire
107913 Seren R601 RF Generator Spares 1 as is where is
110473 Seren AT6 Match SPARES 1 inquire
110474 Seren AT6 Match SPARES 1 inquire
110475 Seren AT6M Match SPARES 1 inquire
110476 Seren Controller Miscellaneous SPARES 1 inquire
110477 Seren I1827MWF Generator SPARES 1 inquire
110478 Seren I1827MWF Generator SPARES 1 inquire
110479 Seren I1827MWF Generator SPARES 1 inquire
110480 Seren I1827MWF Generator SPARES 1 inquire
110481 Seren I1827MWF Generator SPARES 1 inquire
110482 Seren I2000 Generator SPARES 1 inquire
110483 Seren L301 Generator SPARES 1 inquire
110484 Seren L301 Generator SPARES 1 inquire
110485 Seren L301 Generator SPARES 1 inquire
110486 Seren L301 Generator SPARES 1 inquire
110487 Seren L301 Generator SPARES 1 inquire
110488 Seren L301 Generator SPARES 1 inquire
110489 Seren L301 Generator SPARES 1 inquire
110490 Seren L601 Generator SPARES 1 inquire
110491 Seren L601 Generator SPARES 1 inquire
110492 Seren MC2 Controller Miscellaneous SPARES 1 inquire
110493 Seren MC2 Controller Miscellaneous SPARES 1 inquire
110494 Seren MC2 Controller Miscellaneous SPARES 1 inquire
110495 Seren MC2 Controller Miscellaneous SPARES 1 inquire
110496 Seren MC2 Controller Miscellaneous SPARES 1 inquire
110497 Seren MC2 Controller Miscellaneous SPARES 1 inquire
110498 Seren MCRS Match SPARES 1 inquire
110499 Seren PSRS Generator SPARES 1 inquire
110500 Seren R2001 Generator SPARES 1 inquire
110501 Seren R3001 Generator SPARES 1 inquire
110502 Seren R601 Generator SPARES 1 inquire
110503 Seren Seren Match Match SPARES 1 inquire
109525 SEREN R301MKⅡ RF GENERATOR Spares 1 as is where is
110504 SET SET 1500 Match SPARES 1 inquire
110505 SET SET 1500 Match SPARES 1 inquire
110506 SET SET 1500 Match SPARES 1 inquire
108875 SEZ Chemical Storage Cabinet, 2ea Available SPARES 1 inquire
106516 SFI Endeavor AT PVD cluster tool 150 mm 1 as is where is immediately
106517 SFI Endeavor AT PVD cluster tool 150 mm 01.06.1990 1 as is where is immediately
74255 SGL Carbon / Ringsdorff 3PC1396/2 Heater 18 inch Graphite 3PC1396 2 spares 01.10.2003 3 as is where is
108054 Shibasoku S230 Automated test equipment TEST 1 as is where is
108102 Shibuya EH162 TestHandler TEST 1 as is where is
108103 Shibuya EH520 TestHandler TEST 1 as is where is
77161 Shimaden SR91-8P-90-1N0 Temperature Regulator Spares 1 as is where is immediately
108960 Shin-Etsu MW 200 Wafer Transportation Box 8" 50
83548 Shindengen SDC05150G 5V 150 A Regulated power supply, Advantest T5335P Spares 1 as is where is immediately
108594 SHINKAWA COF 300 Flip Chip Bonder Assembly 01.05.2005 5 as is where is immediately
108595 SHINKAWA UTC-2000 SUPER Wire Bonder Assembly 01.05.2008 1 as is where is immediately
108596 SHINKAWA UTC-1000 SUPER Wire Bonder Assembly 01.05.2007 21 as is where is immediately
108597 SHINKAWA UTC-3000WE Wire Bonder Assembly 01.05.2012 1 as is where is immediately
105873 Shinmei Keiki 0-1 kgf/cm2 pressure gauge spares 2 as is where is immediately
93409 Shinwa Controls Co., Ltd. CH1-CP-D1 CH1-CP-D1 1 as is where is
76610 SHOWA 341 Laboratory Power Supply - 4 channel laboratory 01.05.1998 1 as is where is immediately
76611 SHOWA ELECTRONICS 511-16 REGULATED DC POWER SUPPLY laboratory 1 as is where is immediately
110744 Siconnex Produce 200 Acid Wet Cleaning System 200 mm 01.06.2008 1 as is where is
106741 SIGMAMELTEC SFG3000 Photomask 300 mm 01.06.2006 1 as is where is
106533 Sikama Falcon 8500 REFLOW OVEN SMT 01.06.2015 1 as is where is immediately
97080 Singulus S-000414 Singular 05.03.2014 1 inquire
106878 Singulus Singular XP ICP PECVD system for solar cells production Solar 01.06.2015 3 as is where is immediately
108206 SJ SEMITECH Liquid Horning Liquid Horning 1 as is where is
84237 SKF 6002-2Z bearings Spares 1 as is where is immediately
84225 SMC CY 4R08 CY3B15-300 CYLINDER Spares 1 as is where is immediately
84236 SMC ZPT25US-B5 Suction cups Spares 1 as is where is immediately
84256 SMC WO 36517 RODLESS CYLINDER 01.02.1994 1 as is where is immediately
84259 SMC CMFN20-50 AIR CYLINDER 1 as is where is immediately
84262 SMC CDGBN20-204 AIR CYLINDER 3 as is where is immediately
84263 SMC CDM2BZ20-125 AIR CYLINDER 2 as is where is immediately
84264 SMC CMFN20-50 AIR CYLINDER 1 as is where is immediately
84267 SMC CDM2RA20-190 AIR CYLINDER 1 as is where is immediately
84268 SMC CDG1FA20-222 AIR CYLINDER 1 as is where is immediately
84269 SMC CDJ2F16 AIR CYLINDER 1 as is where is immediately
83547 SMC IRS_056/09/GT RODLESS PNEUMATIC CYLINDER *NEW* 01.01.2008 1 as is where is immediately
77152 SMC RL17858 1030567 0.8MPS RODLESS PNEUMATIC CYLINDER *NEW* 01.01.2008 1 as is where is immediately
77157 SMC ZX1101-K15LZB-D21L-X121 Vacuum GENERATOR ZXF35 Spares 1 as is where is immediately
77163 SMC CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE Spares 1 as is where is immediately
84079 SMC CDY1S15H TESTED 01.07.1994 2 as is where is
105839 SMC INR-498-050 Single loop chiller FACILITIES 1 as is where is immediately
83839 SMC CDQSWB20-35DC COMPACT CYLINDER Spares 3 as is where is immediately
83840 SMC ECQ2B32-10DC ACTUATOR, 32MM CQ2 DOUBLE-ACTING Spares 3 as is where is immediately
83842 SMC CQ2B25-25D ACTUATOR CYLINDER Spares 5 as is where is immediately
83843 SMC CQ2B25-20DC ACTUATOR, CQ2 COMPACT CYLINDER Spares 3 as is where is immediately
83844 SMC ECDQ2B32-30D CQ2 COMPACT CYLINDER Spares 2 as is where is immediately
83845 SMC ECDQ2B32-50D COMPACT CYLINDER Spares 1 as is where is immediately
83867 SMC MXS16-30 AS cyl, slide table, MXS/MXJ GUIDED CYLINDER SPARES 1 as is where is immediately
83612 SMC CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE Spares 1 as is where is immediately
83868 SMC CDQ1B32-40D CYL compact, CQ2 COMPACT CYLINDER SPARES 1 as is where is immediately
83869 SMC CDQ1B40-20DM CYLINDER compact, CQ2 COMPACT CYLINDER SPARES 1 as is where is immediately
83872 SMC DF9N VALVES SPARES 2 as is where is immediately
83632 SMC MHF2-12D1R SMC cylinder 1 as is where is immediately
110806 SMC HRS050-WN-20 Thermo Chiller chiller 01.11.2016 1
93406 SMC INR 341-54E CHILLER 1 inquire
93407 SMC INR-341-59B CHILLER Chiller 1 as is where is immediately
93408 SMC INR-341-59A INR-341-59A 1 as is where is
93410 SMC INR-499-201 Chiller Chiller 1 as is where is immediately
93411 SMC INR-499-203 INR-499-203 CHILLER 1 as is where is
93414 SMC INR-341-59B1 chiller 1 as is where is
84214 SMC ULUSP-00005 PNEUMATIC MANIFOLD BASE BLOCK with SMC VL-14 VL-15 VL-16 Spares 2 as is where is immediately
109031 SMT Max QM3000 Automatic Pick and Place Machine SMT 01.04.2022 1 as is where is immediately
110631 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110632 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110633 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110634 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110635 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110636 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
109589 Solitec FlexiFab Coater and Developer 150 mm 1 inquire
109590 Solitec 5100 Manual Spin Coater 150 mm 1 inquire
73208 Solitec 5110C Manually loading Photoresist Spin Coater 3 to 9 inch 01.09.1998 1 as is where is immediately
108876 SONIX UHR-2000 Scanning Acoustic Microscope ASSEMBLY 1 inquire
53268 Sorensen SS200-S0120 Power Supply Megatest Part number 113849 Spares 31.05.1994 3 as is where is immediately
83505 SORENSEN 220 VOLTS POWER SUPPLY 220 VOLTS 30.11.1994 3 as is where is immediately
84366 Sosul Etch Kit 6" Etch kit for Sosul 2300 6" 1 as is where is immediately
7689 Special Optics Beam Enlarger for Argon Ion Laser Beam Enlarger for Argon Ion laser parts 01.06.1992 2 as is where is immediately
7690 Special Optics Fourier Transform Lens Fourier transform Lens for Argon Ion laser parts 01.06.1992 2 as is where is immediately
53037 Special Optics Half silvered mirror 10" X 14" spares 1 as is where is immediately
53038 Special Optics Custom Motorized Iris 6" spares 1 as is where is immediately
53039 Special Optics Mirror, 9" X 7" spares 1 as is where is immediately
53040 Special Optics APOD #113 spares 1 as is where is immediately
53043 Special Optics Beam expander spares 1 as is where is immediately
110507 SPTS A45494 Match SPARES 1 inquire
110508 SPTS A48870R Match SPARES 1 inquire
110509 SPTS AC1948 Match SPARES 1 inquire
110510 SPTS AS307416.07 Match SPARES 1 inquire
110511 SPTS AS310777.04 Match SPARES 1 inquire
110512 SPTS AS314055-03 Match SPARES 1 as is where is immediately
110513 SPTS AS317624.A Match SPARES 1 inquire
110514 SPTS AS317624.H Match SPARES 1 inquire
110515 SPTS AS318775.07 Match SPARES 1 inquire
110516 SPTS AS318775.07 Match SPARES 1 inquire
110517 SPTS AS325489.01 Match SPARES 1 inquire
110518 SPTS AS325489.02 Match SPARES 1 inquire
110519 SPTS AS325490.01 Match SPARES 1 inquire
110520 SPTS AS325490.01 Match SPARES 1 inquire
102623 SPTS Omega 201 Plasma Dry etcher (For spares use) 200 mm 01.05.2010 1 as is where is immediately
84414 SQUARED SBO-2 SWITCH 3536 3 as is where is immediately
108706 SSEC WaferStorm 3300 Single Wafer Cleaning System 200 mm 01.06.2005 1 inquire
110780 SSM 5130 Hg-CV Hg-CV measurement system up to 12 inch 01.01.2004 1 as is where is immediately
108773 SSM 470i CV Plotter 1 inquire
106742 SSM Fastgate 5200 Resistivity / CV Measurement 200 mm 01.06.2008 1 as is where is immediately
95233 ST Automation MT 32 SX Fully Automated Memory Test System for BIST and NAND Memories TEST 30.11.2005 1 inquire immediately
86279 ST Automation MT32SX Flash Memory testing System TEST 30.06.2005 1 as is where is immediately
86280 ST Automation PT-M1 Automated Test System Test 1 as is where is immediately
80177 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80178 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80179 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80180 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
78133 ST Automation QT200 Test System test 31.05.2007 1 as is where is immediately
80181 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80182 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80183 ST Automation QT200 Automated Tester System with monitor test 01.10.2007 1 as is where is immediately
80184 ST Automation QT EPR16 DD Automated Flash Memory Tester System with monitor TEST 30.09.2007 1 as is where is immediately
78137 ST Automation QT200 Tester System with monitor test 1 as is where is immediately
78138 ST Automation R.S.V. ST Memory Test System Electronic Automation test 31.03.2007 1 as is where is immediately
102494 ST Automation MT32SX Automated Flash Memory Testing System FOR TESTING 256 MB MEMORY TEST 30.09.2007 1 as is where is immediately
93822 ST Automation EPR88 Automated Test System TEST 31.05.2005 1 as is where is immediately
99969 ST Automation QT200 Automated Tester System with monitor test 31.05.2005 1 as is where is immediately
86670 ST Automation QT200 Automated Test System TEST 31.05.2005 1 as is where is immediately
93865 ST Automation QT 200 epr 88 Flash Memory Testing System Test 31.05.2005 1 as is where is immediately
101848 ST Automation MT32SX Automated Flash Memory Testing System test 31.05.2007 1 as is where is immediately
71904 ST Automation test head test head for Eprom U 1835 1 as is where is
71908 ST Automation PTM1 Flash Memory Tester Test 3 as is where is immediately
71910 ST Automation MT32SX Flash Memory Test System for 256 MB memory testing TEST 31.05.2008 1 as is where is immediately
84376 STARTECH GC9SF GENDER CHANGER 1 as is where is immediately
84297 Staubli 308998-001 RX90 robot controller spares 1 as is where is immediately
18864 STEAG AST 98050479 AST machine documentation 1 as is where is
109605 Strasbaugh 7AA-SP Grinder 1 inquire
109606 Strasbaugh 6EC CMP Wafer Polisher 1 inquire
108490 Strasbaugh 6DS-SP Multi-Process CMP 200 MM 1 as is where is
110521 STS ICP BALUN MATCH Match SPARES 1 inquire
84022 Sun Ultrasparc 60 Unix computer from Teradyne J994 Test 31.12.1996 1 as is where is immediately
84023 Sun Ultrasparc 60 (Hard Disk Drive) Hard Disk from Unix computer from Teradyne J994 Test 01.01.1997 1 as is where is immediately
84380 SUNX SS-A5 SENSOR CONNECTIONS 6 as is where is immediately
84381 SUNX CX-21/FX/SU SENSOR SYSTEM C8 6 as is where is immediately
84382 SUNX SU-7 LO SENSOR & SYSTEM HB 012 3 as is where is immediately
84383 SUNX GSA-5S QUALITY PROXIMITY SENSOR HB 012 2 as is where is immediately
84384 SUNX SS-AT1 / SS2-300E SENSOR SYSTEM 2 as is where is immediately
84385 SUNX SH-21E SENSOR SYSTEM 1 as is where is immediately
69782 Super vexta udk5114n 5-phase driver 1 as is where is immediately
70302 SURPASS PTC 3/8 NU PRESSURE SENSOR FOR EBARA FREX 200 SPARES 1 as is where is immediately
98497 SUSS ACS200 Photoresist coater and developer track, 1C, 1 D 200 mm 1 as is where is immediately
108299 Suss MicroTec CB200 High Pressure Wafer Bonding Chamber 200 mm 01.06.2012 1 as is where is immediately
106535 SUSS Microtec ACS200 Automated Photoresist Coater 200 mm 1 as is where is immediately
106536 SUSS Microtec ACS200 Automated Photoresist Coater 150 mm/200 mm 1 as is where is immediately
106537 SUSS Microtec ACS200 Classic Automated Photoresist Coater 200 mm 1 as is where is immediately
106538 SUSS Microtec ACS200 Classic Automated Photoresist Coater 150 mm/200 mm 1 as is where is immediately
106539 SUSS Microtec ACS200 Plus Automated Photoresist Coater 150 mm/200 mm 1 as is where is immediately
106541 SUSS Microtec MA200 MASK ALIGNER 150 mm/200 mm 01.06.1999 1 as is where is immediately
110737 Suss MicroTec Falcon Polyimide Photo-resist Developer Track, 2D 150-200 mm 01.06.1996 1 as is where is immediately
106913 SUSS Microtec MA150 (Spare Parts) spares 1 as is where is 2 weeks
110805 SUSS Microtec MA200CO Mask Aligner with topside alignment 01.07.2014 0 as is where is immediately
106954 Suss MicroTec (Karl Suss) MA150 Mask Aligner 150 mm 01.06.2004 1 as is where is immediately
106955 Suss MicroTec (Karl Suss) MA150 Mask Aligner 150 mm 01.06.1998 1 as is where is immediately
106669 SUSS MICROTECH PM8 Prober 200 mm 01.06.1996 1 as is where is
106670 SUSS MICROTECH RC 16 Resist Spin Coater N/A 1 as is where is
106671 SUSS MICROTECH RC 16(RC5) Resist Spin Coater N/A 1 as is where is
106672 SUSS MICROTECH RC 8-ACS 200 Resist Spin Coater 200 mm 1 as is where is
87367 SVG 99-46450-01 9200SE SVG ASML 90 track Z-robot Robot 1 as is all rebuilt immediately
108104 SVG 8626/8636 Coater Track 1 as is where is
108105 SVG 8632-CTD-D Developer Track 1 as is where is
72155 SVG /ASM 128197-001 HEATER ELEMENT, HCGI Spares 31.01.2003 1 as is where is immediately
108979 SVG Thermco 606314-02 REV E Galil Motion Controller CMU PCB, DMC 1330 Spares 1 as is where is immediately
108980 SVG Thermco 606314-02 REV 1 Galil Motion Controller CMU PCB, DMC 1330 Spares 1 as is where is immediately
108982 SVG Thermco 606180-01 rev 04 WTU MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
108983 SVG Thermco 168160-001 REV 4 PCB, ANALOG ATMOSPHERE APL Spares 01.06.1998 1 as is where is immediately
108984 SVG Thermco 606210-01 REV 4 S4 CLPB MOTION CONTROL INTERFACE PCB Spares 01.08.2001 1 immediately
108985 SVG Thermco VMEXB12D-CS VMEBus J1/J2 Common Substrate Extender Board Spares 01.06.2004 1 as is where is immediately
108986 SVG Thermco 165220-001 REV B EXTENDER BOARD Spares 1 as is where is immediately
106941 SVG Thermco 168150-002 REV 3 S13 RELAY BOARD PCB Spares 1 as is where is immediately
106942 SVG Thermco 606200-01 REV 3 S5 WAF CRT MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
106943 SVG Thermco 606210-01 REV 4 S4 CLPB MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
106743 SVS MSX1000 Auto Track 200 mm 1 as is where is
83909 SWAGELOK 12M06 TUBE FITTINGS & VALVE 9 as is where is immediately
83910 SWAGELOK 55-8-VCO-4 TUBE FITTINGS 5 as is where is immediately
83911 SWAGELOK SS-4-VCO-3 TUBE FITTINGS 15 as is where is immediately
83912 SWAGELOK SS-4-VCO-4 TUBE FITTINGS 9 as is where is immediately
83913 SWAGELOK SS-605-4 TUBE FITTINGS 12 as is where is immediately
83915 SWAGELOK GLV-4MW-3 WELD FITTINGS L-606A 2 as is where is immediately
83919 SWAGELOK 207/235/332 TUBE FITTINGS 4 as is where is immediately
80257 Swagelok / CAJON SS-6-VC0 FITTINGS spares 8 as is where is immediately
33413 SYNAX SX3100 Fully Automated test Handler, ambient and hot configured. TEST 01.05.2006 1 as is all rebuilt immediately
33414 SYNAX SX3100 Fully Automated test Handler, ambient and hot configured. test 01.05.2006 1 as is all rebuilt immediately
79888 System General T9600 Universal Device Programmer Electronics Test and Measurement 31.05.2003 1 as is where is immediately
79890 Systron Donner DL 40 - 2A Powe Supply - single and dual voltage 1 as is where is immediately
78136 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 30.11.2005 1 as is where is immediately
80089 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 30.11.2005 1 as is where is immediately
110522 T&C POWER Power Supply Power Supply SPARES 1 inquire
110523 T&C POWER Power Supply Power Supply SPARES 1 inquire
110524 T&C POWER Power Supply Power Supply SPARES 1 inquire
110525 T&C POWER Power Supply Power Supply SPARES 1 inquire
110526 T&C POWER Power Supply Power Supply SPARES 1 inquire
71165 Taitec TEX 25C HEAT EXCHANGER FACILITIES 01.07.2000 2 as is where is immediately
106544 Takatori ATM-1100E Film Laminator - Taping Machine 150 mm/200 mm 01.06.1995 1 as is where is immediately
108877 TAMARACK SCIENTIFIC Mask Aligner, Contact Mask Exposure System 1 inquire
27748 TAYLOR-WARTON LD 25 DEWAR FOR CRYOGENIC FLUIDS 1 as is where is immediately
109015 Tazmo/Semix TR 6133UD Photoresist Coater and Developer tRACK, SOG type 100 mm to 150 mm 2 as is where is immediately
83522 TDK E S R 05-12R-3 SWITCHING REGULATOR NEC CORPORATION 1 immediately
106834 TDK load port E3, E4, E4A, F1 300mm 16 inquire
110527 TDK RKW24 Power Supply SPARES 1 inquire
110528 TDK RKW24 Power Supply SPARES 1 inquire
110529 TDK RKW24 Power Supply SPARES 1 inquire
110530 TDK RKW24 Power Supply SPARES 1 inquire
110531 TDK RKW24 Power Supply SPARES 1 inquire
108878 TECHNICAL MFG. CORP. MICRO-g 62-111 Vibration Isolation Table, 36" X 72"; 1/4"- 20 Holes on 2" Centers SPARES 1 inquire
108879 TECHNICAL MFG. CORP. MICRO-g 63-463 Vibration Isolation Table, 48" X 30" SPARES 1 inquire
108880 TECHNICAL MFG. CORP. MICRO-g 63-661 Vibration Isolation Table, 47" X 36" SPARES 1 inquire
84502 TED PELLA INC CAT 622 M TIN SPHERES ON CARBON 17.8mm Mount 2 as is where is immediately
106150 Tegal 903E Dry Etcher 150 mm 1 as is all rebuilt immediately
106151 TEGAL 900 Plasma dry etch 100 mm 01.10.1984 1 as is where is immediately
106152 TEGAL 903E Plasma dry etch 100 mm 01.06.1985 1 as is where is immediately
110532 Tegal Tegal Match Match SPARES 1 inquire
110533 Tegal Tegal Match Match SPARES 1 inquire
110534 Tegal Tegal Match Match SPARES 1 inquire
110535 Tegal Tegal Match Match SPARES 1 inquire
110536 Tegal Tegal Match Match SPARES 1 inquire
110537 Tegal Tegal Match Match SPARES 1 inquire
110538 Tegal Tegal Match Match SPARES 1 inquire
110539 Tegal Tegal Match Match SPARES 1 inquire
110540 Tegal Tegal Match Match SPARES 1 inquire
110541 Tegal Tegal Match Match SPARES 1 inquire
110542 Tegal Tegal Match Match SPARES 1 inquire
110543 Tegal Tegal Match Match SPARES 1 inquire
110544 Tegal Tegal Match Match SPARES 1 inquire
110545 Tegal Tegal Match Match SPARES 1 inquire
110546 Tegal Tegal Match Match SPARES 1 inquire
110547 Tegal Tegal Match Match SPARES 1 inquire
108598 TEKTRONIX TDS720P Oscilloscope 1 as is where is immediately
76613 Tektronix TDS694C Digital 3 GHz real-time oscilloscope Electronics Test and Measurement 31.07.2007 1 as is where is immediately
79590 Tektronix TDS 544A Color 4 channel digitizing oscilloscope Electronics Test and Measurement 31.05.2006 1 as is where is immediately
108774 TEKTRONIX 7704 Oscilloscope 1 as is where is
79597 Tektronix PS 280 DC Power supply (Working condition) Electronics Test and Measurement 01.05.2007 1 as is where is immediately
79599 Tektronix 11801C Digital Sampling Oscilloscope Electronics Test and Measurement 31.01.2001 1 as is where is immediately
79601 Tektronix 2432A Digital Oscilloscope, 2 channel, with GPIB Electronics Test and Measurement 1 as is where is immediately
111341 TEL (Tokyo Electron Ltd) ACT 8 (Parts) Carrier Send Block, SMIF 200 mm 01.06.2001 1 as is where is immediately
98304 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2010 1 as is where is
98305 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2010 1 as is where is
108036 TEL Tokyo Electron INDY PLUS BCD POLY 300 mm 01.07.2010 1 as is where is
98846 TEL Tokyo Electron VIGUS Mask Dielectric Etch 300 mm 30.04.2009 1 as is where is immediately
98847 TEL Tokyo Electron VIGUS RK2 Dielectric Etch 300 mm 31.07.2013 1 as is where is immediately
77089 TEL TOKYO ELECTRON 3387-002688-12 Tel P8XL Camera assembly Spares 1 as is where is immediately
109093 TEL Tokyo Electron Expedius Acid Wet bench 300 mm 01.10.2006 1 as is where is immediately
110638 TEL Tokyo Electron Mark8 Lithography Coater and Developer with 2c, 2d 150 mm 1 as is where is
110639 TEL Tokyo Electron P-12XL Prober 300 mm 1 as is where is
110640 TEL Tokyo Electron P-12XL prober 300 mm 1 as is where is
110641 TEL Tokyo Electron Telius Dry Etcher 300 mm 1 as is where is
110642 TEL Tokyo Electron Telius SP-Vesta Dry Etcher 300 mm 1 as is where is
110643 TEL Tokyo Electron Trias Ti/TiN TiN CVD 300 mm 1 as is where is
110644 TEL Tokyo Electron Trias Ti/TiN TiN CVD 300 mm 1 as is where is
110645 TEL Tokyo Electron UNITY2e-855DD Dry Etcher 200 mm 1 as is where is
110646 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
108599 TEL TOKYO ELECTRON P12XLM Prober 300 mm 01.05.2006 1 inquire immediately
110647 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
108600 TEL Tokyo Electron A303I Vertical furnace 300 mm 01.06.2004 1 as is where is immediately
110648 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
108601 TEL Tokyo Electron A303I Vertical furnace 300 mm 01.06.2006 1 as is where is immediately
110649 TEL Tokyo Electron Unity2e-855II IEM Dry Etcher 200 mm 1 as is where is
108602 TEL Tokyo Electron A303I Vertical furnace 300 mm 01.06.2006 1 as is where is immediately
110650 TEL Tokyo Electron Unity2e-855PP DP Dry Etcher 200 mm 1 as is where is
108603 TEL Tokyo Electron P12XLM Prober 300 mm 01.05.2006 1 as is where is immediately
110651 TEL Tokyo Electron Unity2e-855SS Dry Etcher 200 mm 1 as is where is
108604 TEL TOKYO ELECTRON Precio Nano FULLY AUTOMATED PROBER 300 mm 01.06.2012 1 as is where is immediately
110652 TEL Tokyo Electron Unity2e-85DPA Dry Etcher 200 mm 1 as is where is
108605 TEL Tokyo Electron ACT 8 Photoresist coater and developer track with 3C and 3D 200 mm 01.09.2001 1 as is where is immediately
110653 TEL Tokyo Electron Unity2E-85IEM Dry Etcher 200 mm 1 as is where is
108606 TEL Tokyo Electron I/F Block (Mark8 - i11D) Track interface block for Nikon i11D 200 mm 01.05.1997 1 as is where is
109118 TEL TOKYO ELECTRON Trias CVD 300 mm 01.06.2010 14 as is where is immediately
110654 TEL Tokyo Electron Unity2e-85TPATC Dry Etcher 200 mm 1 as is where is
110655 TEL Tokyo Electron UW300Z Wet 300 mm 1 as is where is
110656 TEL Tokyo Electron UW8000 Wet 200 mm 1 as is where is
110657 TEL Tokyo Electron UW8000 Wet 200 mm 1 as is where is
108614 TEL Tokyo Electron P8XL Fully Automated Prober 200 mm 01.06.2001 1 as is where is immediately
108106 TEL Tokyo Electron Alpha-303i-H Vertical Furnace, MTO 300 mm 1 as is where is
110666 TEL Tokyo Electron Interface module For Mark7 photoresist coater and developer spares 01.07.1997 1 as is where is immediately
108107 TEL Tokyo Electron Alpha-303i-K Vertical Furnace, DCS MTO 300 mm 1 as is where is
108108 TEL Tokyo Electron Alpha-303i-K Vertical Furnace, MTO 300 mm 1 as is where is
108109 TEL Tokyo Electron Formula Vertical Furnace 300 mm 1 as is where is
108110 TEL Tokyo Electron Formula Vertical Furnace 300 mm 1 as is where is
108111 TEL Tokyo Electron Indy-A-L Vertical Furnace, LPRO 300 mm 1 as is where is
108112 TEL Tokyo Electron Indy-B-L Vertical Furnace 300 mm 1 as is where is
108113 TEL Tokyo Electron Indy-B-L Vertical Furnace, D-poly 300 mm 1 as is where is
108114 TEL Tokyo Electron Indy-B-L Vertical Furnace, D-poly 300 mm 1 as is where is
108115 TEL Tokyo Electron Indy-B-L Vertical Furnace, DCS Nitride 300 mm 1 as is where is
108116 TEL Tokyo Electron Indy-I-L Vertical Furnace 300 mm 1 as is where is
108117 TEL Tokyo Electron Indy-I-L Vertical Furnace, LT ALD SIN 300 mm 1 as is where is
108118 TEL Tokyo Electron Interface module For Mark8 photoresist coater and developer spares 01.12.1995 1 as is where is immediately
108119 TEL Tokyo Electron LU-8209 Auto refill system for TEL ALPHA-8S TEOS spares 1 as is where is
108120 TEL Tokyo Electron TE8500 Dry Etch 200 mm 1 as is where is
108126 TEL Tokyo Electron VMU-40-007 Heater for Alpha 8SE furnace spares 1 as is where is
110693 TEL TOKYO ELECTRON Mark 8 Polyimide Cure Track 200 mm 01.06.2009 1 as is where is immediately
103528 TEL Tokyo Electron EXPEDIUS DUMMY CLN 300 mm 1 as is where is
110698 TEL TOKYO ELECTRON Mark 5 Photoresist Track 150 mm 01.06.1991 1 as is where is immediately
91245 TEL TOKYO ELECTRON TRIAS CHAMBER ONLY LT TIN (N2/NH3/N2/CIF3) 300 mm 1 as is where is
93040 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2009 1 as is where is
93041 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2010 1 as is where is
93042 TEL TOKYO ELECTRON VIGUS MASK ETCH 300 mm 31.05.2010 1 as is where is
91255 TEL TOKYO ELECTRON ALPHA-303i H type / Poly 300 mm 1 as is where is
83832 TEL Tokyo Electron 028-016314-1 FITTING TUBE...1016-0 8 SPARES 1 as is where is immediately
91256 TEL TOKYO ELECTRON ALPHA-303i H type / Poly 300 mm 1 as is where is
91258 TEL TOKYO ELECTRON ALPHA-303i K type 300 mm 1 as is where is
91259 TEL TOKYO ELECTRON Telformula(ver.0) optimal thermal processing 300 mm 1 as is where is
108929 TEL TOKYO ELECTRON Alpha 8 SZ Vertical Furnace, Fast Thermal Oxidation process 200 mm 01.06.2001 2 as is where is immediately
96386 TEL Tokyo Electron Lithius Lithography Coater Developer 31.05.2007 0 as is where is immediately
93059 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
93060 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
2181 TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch 150 mm 01.11.1992 1 as is where is immediately
103557 TEL Tokyo Electron ALPHA 303I K type / Nitride 300 mm 1 as is where is
93062 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2004 1 as is where is
103558 TEL Tokyo Electron ALPHA 303I Poly / K type 300 mm 1 as is where is
93063 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
93064 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2007 1 as is where is
103560 TEL Tokyo Electron ALPHA 303I Poly / K type 300 mm 31.05.2004 1 as is where is
108936 TEL TOKYO ELECTRON Lithius Photoresist Coater and Developer Track 300 mm 01.04.2004 1 as is where is
93065 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2007 1 as is where is
103561 TEL Tokyo Electron ALPHA 303I Poly / K type 300 mm 31.05.2004 1 as is where is
108937 TEL TOKYO ELECTRON Lithius Photoresist Coater and Developer Track 300 mm 01.06.2007 1 as is where is
103562 TEL Tokyo Electron ALPHA 303I-KVCN Poly / K type 300 mm 1 as is where is
103564 TEL Tokyo Electron ALPHA 303I-KVCN Poly / K type 300 mm 1 as is where is
103565 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
103566 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
21135 TEL TOKYO ELECTRON UPGRADE FOR SCCM OXIDE TOOL KIT FOR UPGRADE FOR SCCM OXIDE TOOL SPARES 1 as is where is immediately
103567 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
103568 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103569 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103570 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103571 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103572 TEL Tokyo Electron FORMULA DCS SiN 300 mm 1 as is where is
103573 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103574 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103575 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108695 TEL Tokyo Electron TRIAS High K Metal CVD and ALD system, NiOx, HfOx process 300 MM 01.06.2012 1 inquire
103576 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103577 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103578 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103579 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103580 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103581 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 31.05.2006 1 as is where is
103582 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 31.05.2006 1 as is where is
110752 TEL Tokyo Electron ACT 12 Double Block DUV Photoresist Coater and Developer Track 300 mm 01.01.2009 1 as is where is immediately
108707 TEL Tokyo Electron Tactras RLSA (Chamber) Polysilicon Dry Etch Chamber 300 MM 01.06.2012 1 inquire
108966 TEL Tokyo Electron ACT 12 DOUBLE BLOCK TRACK with 3 PCT, 1 COT, 4 DEV, R to L 200 mm 01.06.2018 1 inquire immediately
103597 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103598 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
103599 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108207 TEL Tokyo Electron VIGUS_NEST Dry ETCHing System 300 mm 01.06.2007 1 as is where is
103600 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
106416 TEL TOKYO ELECTRON INDY-PLUS-B-M VERTICAL CVD FURNACE 300 mm 01.07.2010 1 as is where is immediately
108208 TEL Tokyo Electron VIGUS_PX Dry Etcher 300 mm 01.06.2007 1 as is where is
103601 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108209 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2006 1 as is where is
103602 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108210 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2006 1 as is where is
103603 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108211 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2007 1 as is where is
103604 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
108212 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2007 1 as is where is
109236 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
103605 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
109237 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
103606 TEL Tokyo Electron FORMULA Vertical Diffusion Furnace 300 mm 1 as is where is
109238 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109239 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109240 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109241 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109242 TEL TOKYO ELECTRON ALPHA-303i Nitride Vertical Furnace 300mm 1 as is where is
103611 TEL Tokyo Electron INDY ALD High-K 300 mm 1 as is where is
109243 TEL TOKYO ELECTRON ALPHA-303i Nitride Vertical Furnace 300mm 1 as is where is
103612 TEL Tokyo Electron INDY ALD High-K 300 mm 31.05.2011 1 as is where is
109244 TEL TOKYO ELECTRON CLEAN TRACK ACT 12 Multi Block (Resist Coater/Developer) 300mm 1 as is where is
103613 TEL Tokyo Electron INDY Doped Poly 300 mm 1 as is where is
109245 TEL TOKYO ELECTRON CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer) 300mm 1 as is where is
103614 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 31.05.2014 1 as is where is
109246 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Coat only Track 300mm 1 as is where is
103615 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 1 as is where is
109247 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer) 300mm 1 as is where is
103616 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 1 as is where is
109248 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer) 300mm 1 as is where is
103617 TEL Tokyo Electron INDY PLUS ALD High-K 300 mm 1 as is where is
109249 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS Pro Z Multi Block (Resist Coater/Developer) 300mm 1 as is where is
109250 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109251 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109252 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
109253 TEL TOKYO ELECTRON CLEAN TRACK LITHIUS SINGLE BLOCK Single Block (Coat/Develop) 300mm 1 as is where is
103622 TEL Tokyo Electron LITHIUS SINGLE BLOCK 2C/3D system (for NIKON S308) 300 mm 31.05.2006 1 as is where is
109254 TEL TOKYO ELECTRON Expedius Batch Wafer Processing 300mm 1 as is where is
103623 TEL Tokyo Electron LITHIUS SINGLE BLOCK (for NIKON S205) 300 mm 31.05.2006 1 as is where is
109255 TEL TOKYO ELECTRON Expedius+ Batch Wafer Processing 300mm 1 as is where is
103624 TEL Tokyo Electron LITHIUS SINGLE BLOCK 2C/3D system (for NIKON S308) 300 mm 31.05.2004 1 as is where is
109256 TEL TOKYO ELECTRON Tactras DRM3 Dielectric Etch 300mm 1 as is where is
109257 TEL TOKYO ELECTRON Tactras Vesta Polysilicon Etch 300mm 1 as is where is
18890 TEL TOKYO ELECTRON 201345 Operations manual 1 as is where is
103626 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
109258 TEL TOKYO ELECTRON Tactras Vesta Polysilicon Etch 300mm 1 as is where is
18891 TEL TOKYO ELECTRON 201336 Operations manual 1 as is where is
103627 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
109259 TEL TOKYO ELECTRON TELFORMULA ALD High-K Vertical Furnace 300mm 1 as is where is
18892 TEL TOKYO ELECTRON 201341 Operations manual 1 as is where is
109260 TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace 300mm 1 as is where is
18893 TEL TOKYO ELECTRON 201342 Operations manual 1 as is where is
91341 TEL TOKYO ELECTRON TELIUS SCCM T-3044SS DRY ETCHER 300 mm 31.05.2007 1 as is where is
103629 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 31.05.2007 1 as is where is
109261 TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace 300mm 1 as is where is
18894 TEL TOKYO ELECTRON 201339 Operations manual 1 as is where is
103630 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
109262 TEL TOKYO ELECTRON TELFORMULA Nitride Vertical Furnace 300mm 1 as is where is
18895 TEL TOKYO ELECTRON 201335 Operations manual 1 as is where is
103631 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 31.05.2005 1 as is where is
109263 TEL TOKYO ELECTRON TELFORMULA Oxide Vertical Furnace 300mm 1 as is where is
18896 TEL TOKYO ELECTRON 201342 Operations manual 1 as is where is
103632 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 31.05.2007 1 as is where is
109264 TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace 300mm 1 as is where is
18897 TEL TOKYO ELECTRON 201346 Operations manual 1 as is where is
109265 TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace 300mm 1 as is where is
103634 TEL Tokyo Electron LITHIUS High Reliability and Productivity Coater Developer 300 mm 1 as is where is
109266 TEL TOKYO ELECTRON TELINDY Nitride Vertical Furnace 300mm 1 as is where is
109267 TEL TOKYO ELECTRON TELINDY Plus IRAD Oxide Vertical Furnace 300mm 1 as is where is
108500 TEL Tokyo Electron Expedius Batch Wafer Processing 300 mm 3 as is where is
109268 TEL TOKYO ELECTRON TELINDY Plus IRAD Oxide Vertical Furnace 300mm 1 as is where is
109269 TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch 300mm 1 as is where is
109270 TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch 300mm 1 as is where is
109526 TEL TOKYO ELECTRON ACT12 (4C4D) PHOTORESIST COATER AND DEVELOPER TRACK 300mm 01.06.2010 1 as is where is
109271 TEL TOKYO ELECTRON Telius 305 DRM Dielectric Etch 300mm 1 as is where is
109272 TEL TOKYO ELECTRON Telius SP 305 DRM Dielectric Etch 300mm 1 as is where is
108505 TEL Tokyo Electron Tactras RLSA Poly Polysilicon Etch 300 mm 1 as is where is
109273 TEL TOKYO ELECTRON Telius SP 305 DRM Dielectric Etch 300mm 1 as is where is
108506 TEL Tokyo Electron Tactras Vesta Polysilicon Etch 300 mm 6 as is where is
109274 TEL TOKYO ELECTRON Telius SP-305 SCCM Dielectric Etch 300mm 1 as is where is
108507 TEL Tokyo Electron Tactras Vesta NV3 Polysilicon Etch 300 mm 1 as is where is
109275 TEL TOKYO ELECTRON Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 300mm 1 as is where is
108508 TEL Tokyo Electron Tactras Vesta NV3 Polysilicon Etch 300 mm 1 as is where is
108510 TEL Tokyo Electron Tactras Vigus Dielectric Etch 300 mm 1 as is where is
108511 TEL Tokyo Electron Tactras Vigus - Chamber Only Dielectric Etch 300 mm 1 as is where is
108513 TEL Tokyo Electron Tactras Vigus RK5 Dielectric Etch 300 mm 1 as is where is
108002 TEL Tokyo Electron Alpha 805 VERTICAL CVD FURNACE, DRY OXIDE 200 mm 1 as is where is immediately
108003 TEL Tokyo Electron Alpha 805 VERTICAL CVD FURNACE, TEOS PROCESS 200 mm 1 as is where is immediately
109027 TEL Tokyo Electron Alpha 8S-Z (AP) Vertical Furnace Oxide Process 200 mm 01.08.1999 1 as is where is immediately
108004 TEL Tokyo Electron Alpha 8S VERTICAL CVD FURNACE, PYRO PROCESS 200 mm 1 as is where is immediately
108516 TEL Tokyo Electron TELFORMULA LPRO Vertical Furnace 300 mm 1 as is where is
108005 TEL Tokyo Electron Alpha 8S VERTICAL CVD FURNACE, POCL3 PROCESS 200 mm 1 as is where is immediately
108517 TEL Tokyo Electron TELFORMULA LPRO Vertical Furnace 300 mm 1 as is where is
108523 TEL Tokyo Electron TELINDY Plus IRAD Oxide Vertical Furnace 300 mm 1 as is where is
109548 TEL Tokyo Electron P12XL Automatic Prober 300 mm 01.06.2005 63 as is where is immediately
86253 TEL TOKYO ELECTRON 2985-429208-W4 ACT 12 2985-429208-W4 ADH SUB UNIT BASE ASSY ADHESIVE MODULE 300 mm 1 as is where is immediately
108525 TEL Tokyo Electron TELINDY Plus process TBD Vertical Furnace 300 mm 1 as is where is
108527 TEL Tokyo Electron Telius SP 305 DRM Dielectric Etch 300 mm 4 as is where is
87287 TEL Tokyo Electron TELFORMULA Nitride Vertical LPCVD Furnace 300 mm 31.05.2004 1 as is where is immediately
106744 TEL TOKYO ELECTRON ALPHA 303I Nitride CVD Furnace 300 mm 1 as is where is
108536 TEL Tokyo Electron Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition) 300 mm 1 as is where is
106745 TEL TOKYO ELECTRON ALPHA 303I Nitride CVD Furnace 300 mm 1 as is where is
108537 TEL Tokyo Electron Unity Me 85 DRM Dielectric Etch 200 MM 01.06.2013 1 as is where is immediately
106746 TEL TOKYO ELECTRON FORMULA ALD High K FURNACE 300 mm 1 as is where is
108538 TEL Tokyo Electron Unity Me 85 SCCM Dielectric Etch 200 MM 1 as is where is
98299 TEL Tokyo Electron FORMULA Vertical LPCVD Furnace 300 mm 1 as is where is
106747 TEL TOKYO ELECTRON FORMULA ALD High K FURNACE 300 mm 1 as is where is
106748 TEL TOKYO ELECTRON LITHIUS High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2005 1 as is where is
106749 TEL TOKYO ELECTRON LITHIUS i+ High Reliability and Productivity Coater Developer (5C/5D) 300 mm 01.06.2006 1 as is where is
109565 TEL Tokyo Electron Unity SCCM Shin Oxide Etcher with qty 3 chambers 300 mm 01.05.2005 1 as is where is immediately
98302 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2006 1 as is where is
106750 TEL TOKYO ELECTRON TEL TRIAS CVD Cluster tool 300 mm 1 as is where is
98303 TEL Tokyo Electron INDY Vertical Furnace 300 mm 31.05.2004 1 as is where is
106751 TEL TOKYO ELECTRON TEL TRIAS 200 SPA CVD Cluster tool 300 mm 1 as is where is
108881 TELEMARK 259 Electron Beam Source, Mounted on DN200CF Flange with HV, Water & Instrumentation Feedthroughs SPARES 1 inquire
108882 TELEMARK TT-3 Electron Beam Power Supply with TT-3/6 Control & XY Sweep SPARES 1 inquire
108883 TEMESCAL BJD-1800 E-Beam Evaporator with CV-14 Power Supply 1 inquire
108884 TEMESCAL FCE-2500 E-Beam Evaporator with Simba 2 Power Supply 1 inquire
108885 TEMESCAL VES-2550 E-Beam Evaporator with CV-14 Power Supply 1 inquire
83553 TEMPTRONIC TP22-2 TEMPERATURE TEMP SET TP22-2 01.12.1986 1 as is where is immediately
83829 TEMPTRONIC THERMO SPOT THERMO SPOT HEATING HEAD SPARES 1 as is where is immediately
83575 TENCOR INSTRUMENTS AC 100V POWER SW AC 100 V 01.04.1996 1 as is where is
83576 TENCOR INSTRUMENTS AC 100-120 V POWER SW AC 100-120 V 01.11.1995 1 as is where is immediately
106752 TERADYNE CATALYST AUTOMATED TEST EQUIPMENT 200 mm,300 mm 01.06.1998 1 as is where is
82177 Teradyne 405-097-00 Power-One Dual 5 V Power Supply 150 Amp, 230 VAC, for Teradyne J971 tester Spares 01.06.1997 4 as is where is immediately
106753 TERADYNE CATALYST AUTOMATED TEST EQUIPMENT 200 mm,300 mm 01.06.2000 1 as is where is
106754 TERADYNE CATALYST AUTOMATED TEST EQUIPMENT 200 mm,300 mm 1 as is where is
108301 Teradyne Catalyst Mixed SIGNAL TEST SYSTEM TEST 01.06.2000 1 as is where is
78361 Teradyne J971SP (Spares) Boards from VLSI test system Test 01.01.1997 1 as is where is immediately
83497 Teradyne 405-142-00 Power Supply 150 Amp, 230 VAC Spares 01.06.1997 1 as is where is immediately
82231 Teradyne 961-061-00 Teradyne J971 Power Supply 01.07.1997 1 as is where is immediately
82232 Teradyne 961-128-00 Teradyne J971 Power Supply 01.07.1997 1 as is where is immediately
108607 TERADYNE IP750EX AUTOMATED TEST EQUIPMENT For CMOS Image Sensor testing TEST 01.06.2012 1 as is where is immediately
80215 Teradyne 950-662-02/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 60619 9341 Spares 2 as is where is immediately
78168 Teradyne 950-656-00 rev B PCB from test system Spares 01.06.2000 1 as is where is immediately
80216 Teradyne 953-003-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 7221 9720 Spares 1 as is where is immediately
80217 Teradyne 950-542-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 30420 9208 Spares 1 as is where is immediately
80218 Teradyne 950-541-00 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 53420 9208 Spares 1 as is where is immediately
80219 Teradyne 950-421-01/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61819 9517 Spares 2 as is where is immediately
80220 Teradyne 950-713-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 2220 9702 Z18XX Spares 1 as is where is immediately
80221 Teradyne 950-220-02 rev a Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 42419 9251 Spares 1 as is where is immediately
80222 Teradyne 950-777-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 46500L 9513 1 as is where is immediately
80223 Teradyne 950-572-04 Rev. A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61219 9345 Spares 2 as is where is immediately
80224 Teradyne 950-569-03/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314 Spares 2 as is where is immediately
80225 Teradyne 950-212-03/B Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /B 55119 9328 Spares 1 as is where is immediately
80226 Teradyne 950-687-01 rev D Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /D 9752 L200 Spares 1 as is where is immediately
80227 Teradyne 950-561-04/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60620 9547 1 as is where is
84840 Teradyne 880-751-10 /E Precision Measurement unit PCB, REV E 1 as is where is immediately
83561 Teradyne 405-167-00 Power-One International Series Switching DC Power Supply SPM2E1E1S304 500W I/P 115-230v 12-7A O/P 28VDC 8.6A / 28VDC 8.6A Spares 01.06.1998 1 as is where is immediately
83566 Teradyne 405-096-00 POWER ONE Power Supply 150 Amp, 230 VAC (S233) Spares 01.06.1997 2 as is where is immediately
108912 Teradyne UltraFLEX Automated Test System TEST 01.05.2011 1 as is where is immediately
81836 Teradyne 961-129-01 Teradyne J971 test system power control panel 01.04.1997 1 as is where is immediately
108213 TERADYNE CATALYST EDS/ TEST test 1 as is where is
108987 TERADYNE 950-217-04 REV B PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108988 TERADYNE 950-561-04 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108990 TERADYNE 950-562-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 01.06.1997 1 immediately
108991 TERADYNE 950-681-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108992 TERADYNE 950-560-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
80321 Teradyne 880-751-10 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 61720 9521 1 as is where is immediately
80322 Teradyne 950-421-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 72019 9517 1 as is where is immediately
108994 TERADYNE 950-569-03 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
80323 Teradyne 950-574-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 71620 8541 Z18xx Spares 1 as is where is immediately
80324 Teradyne 950-568-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 73419 9710 TW568 REV A Spares 1 as is where is immediately
80325 Teradyne 950-566-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60520 9448 2 as is where is immediately
80326 Teradyne 950-569-03 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314 2 as is where is
80327 Teradyne 950-558-00 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 64620 9509 Spares 1 as is where is immediately
80328 Teradyne 950-560-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 93610 9918 1 as is where is immediately
80329 Teradyne 950-562-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 75019 9226 Spares 1 as is where is immediately
80330 Teradyne 950-681-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 74920 9319 1 as is where is immediately
80331 Teradyne 950-556-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 80419 94222 Spares 1 as is where is immediately
80332 Teradyne 950-217-04 Teradyne J971 PCB, 1 as is where is immediately
109006 TERADYNE 517-400-01 APMU Board for J750 tester SPARES 1 inquire immediately
54232 Teradyne J994 Memory Tester test 01.05.2000 1 as is where is immediately
82925 Teradyne 405-155-00 Power-One International Series DC Switching Power Supply, I/P 230VAC/23A, O/P 3.3V/35A / 28V/8.6A / 28V/8.6A / 15V/16A / 15V/16A Spares 01.10.1997 1 as is where is immediately
108886 TERRA UNIVERSAL 8 Tank Stainless Steel Sink with 8ea 14" X 14" X 12" (d) Tanks 1 inquire
108887 TERRA UNIVERSAL 1679-06-1 SST Dessicator Cabinet , 2ea Available SPARES 1 inquire
108888 TERRA UNIVERSAL Desiccator Box, 12"x11"x12" SPARES 1 inquire
108776 TERRA UNIVERSAL Dessicator Box 1 as is where is
108608 TES CHALLENGER_ST Plasma-Enhanced CVD system 300 mm 01.05.2010 1 as is where is immediately
80266 TESCOM 150 REGULATORS PRESSURE 3 as is where is immediately
70299 Texwipe 810-39234 BRUSH, ELONGATED CORE EBARA (TEXWIPE) SPARES 3 as is where is immediately
107956 Thamway A161 RF Generator Spares 1 as is where is immediately
110548 Thamway A161 Generator SPARES 1 inquire
110549 Thamway A161 Generator SPARES 1 inquire
108214 THERMAL GYE-12000 Evaporator System 200 mm 1 as is where is
91569 Thermo Fisher ECO 1000 FTIR System 200 mm 01.05.2000 1 as is where is immediately
108609 THERMONICS T-2500SEA Precision Temperature Forcing System 300 mm 01.05.2011 1 as is where is immediately
108889 THERMONICS T-2427 Temperatuire Forcing System with DUT Cooling Shroud, Needs Compressor Work ASSEMBLY 1 inquire
84243 THK 280L Linear Bearing and guide 1 as is where is immediately
84245 THK LWHS15 LINEAR WAY WITH SINGLE BEARING Spares 3 as is where is immediately
84246 THK RSR 15 LINEAR WAY WITH 7 BEARINGS Spares 1 as is where is immediately
84247 THK A6F 598 LINEAR WAY WITH SINGLE BEARING Spares 2 as is where is immediately
84248 THK HSRIZRI/UUM+490LM LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84249 THK ATHI240 LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84250 THK Y8A31 LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84251 THK A6 C II LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
84252 THK 689 LINEAR WAY WITHOUT BEARING Spares 1 as is where is immediately
84253 THK RSR12VM LINEAR WAY WITH 2 BEARINGS Spares 1 as is where is immediately
84257 THK KS 3J22 RELIANCE BEARING 1 as is where is immediately
70303 THK LMT40UUM+489LFM LEADSCREW FOR EBARA FREX 200 SPARES 2 as is where is immediately
83877 THK CO.,LCD OR17 BLOCK SR-2V 5 as is where is immediately
83878 THK CO.,LCD SC35uu LM CASE UNIT 2 as is where is immediately
83879 THK CO.,LCD FBA 5 FLAT BALL 2 as is where is immediately
108128 TOK 12262 Wafer bonder 1 as is where is
108129 TOK 12263 Wafer Debonder 1 as is where is
83827 TOKIMEC VA12134A DIRECTIONAL CONTROL VALVE 1 as is where is immediately
83828 TOKIMEC 012-7 DIRECTIONAL CONTROL VALVE 2 as is where is immediately
83831 TOKYO ELECRON 015 RELAY SPARES 1 as is where is immediately
83833 TOKYO ELECRON 011 SUPPORT.PCB..SQ-80 SPARES 1 as is where is immediately
108039 Tokyo Electron Spare Parts Various Spare Parts for sale Spares 1 as is where is immediately
83641 TOKYO ELECTRON 1D10-317R09-12 PLATE,GALDEN FLOW CHECKER SPARES 1 as is where is immediately
83640 TOKYO ELECTRON / CONTEC FC-SD70 flow meter FLOW CHECKER 1 as is where is immediately
77088 Tolomatic 11240741 Tolomatic cylinder, replacement for AMI tools 1 as is where is
108130 TORAY FC3000L2 FLIP CHIP Line 0 as is where is
103635 Toray SP-500w Bump Height Measurement 300 mm 1 as is where is
108777 TOWA CC-S Injection Molding Press, 2ea Available 01.01.2000 1 as is where is immediately
108778 Towa CC-S Injection Molding Press Assembly 01.05.2000 1 as is where is
110550 Trazar AMU10A-1 Match SPARES 1 inquire
109527 TRAZAR AMU10E-2 RF GENERATOR Spares 2 as is where is
110551 Trazar AMU10B-1 Match SPARES 1 inquire
109528 TRAZAR AMU2-1 RF GENERATOR Spares 6 as is where is
110552 Trazar AMU10B-1 Match SPARES 1 inquire
109529 TRAZAR SRN1-2 RF GENERATOR Spares 4 as is where is
110553 Trazar AMU10D-4 Match SPARES 1 inquire
109530 TRAZAR SRN1-3 RF GENERATOR Spares 1 as is where is
110554 Trazar AMU10D-4 Match SPARES 1 inquire
109531 TRAZAR SRN2 RF GENERATOR Spares 1 as is where is
110555 Trazar AMU10G-1 Match SPARES 1 inquire
110556 Trazar AMU2B-1 Match SPARES 1 inquire
110557 Trazar AMU2B-1 Match SPARES 1 inquire
110558 Trazar AMU2B-1 Match SPARES 1 inquire
110559 Trazar AMU2B-1 Match SPARES 1 inquire
110560 Trazar AMU2B-1 Match SPARES 1 inquire
110561 Trazar AMU2B-1 Match SPARES 1 inquire
110562 Trazar AMU2D-1 Match SPARES 1 inquire
110563 Trazar AMU3-20 Match SPARES 1 inquire
110564 Trazar AMU5-1 Match SPARES 1 inquire
110565 Trazar AMU5C-1 Match SPARES 1 inquire
110566 Trazar AMU5C-1 Match SPARES 1 inquire
110567 Trazar AMU5D-1 Match SPARES 1 inquire
110568 Trazar ESC Control Match SPARES 1 inquire
110569 Trazar IG270 Match SPARES 1 inquire
110570 Trazar RFS1-3 Switch Box Miscellaneous SPARES 1 inquire
110571 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110572 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110573 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110574 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110575 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110576 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110577 Trazar SRN1-2 Match SPARES 1 inquire
110578 Trazar (Phoenix) AMU10A-1S Match SPARES 1 inquire
110579 Trazar (Phoenix) AMU10B-1 Match SPARES 1 inquire
110580 Trazar (Phoenix) AMU10B-1 Match SPARES 1 inquire
110581 Trikon Trikon M6 Match SPARES 1 inquire
110582 Trikon Trikon M6 Match SPARES 1 inquire
110583 Trikon Trikon M6 Match SPARES 1 inquire
108305 Trumpf HL 101 P CNC laser cutter 01.06.2010 1 as is where is
108306 Trumpf HL 101 P CNC laser cutter 01.06.2009 1 as is where is
108307 Trumpf HL 101 P CNC laser cutter 01.06.1996 1 as is where is
108308 Trumpf HL101P CNC laser cutter 01.06.1997 1 as is where is
97079 Trumpf TruMicro 7240 Laser source 30.11.2012 1 inquire
110584 Trumpf TruPlasma RF1003 Generator SPARES 1 inquire
110585 Trumpf TruPlasma RF1003 Generator SPARES 1 inquire
106551 TT Vision T-224X TT Vision - Post Tape Inspection 1 as is where is
84370 Tylan MDVX-015 Throttle Valve, KF40, with vexta motor driver Spares 1 as is where is immediately
108890 ULTRACISION 860 Manual Wafer Prober, for up to 200mm Wafers 200 MM 1 inquire
106553 Ultratech 4700 Stepper 150 mm/200 mm 01.06.1996 1 as is where is immediately
106554 Ultratech 6700 Stepper 150 mm/200 mm 1 as is where is immediately
103807 Ultratech Titan II Stepper 150 mm 1 inquire immediately
106557 Ultron UH108 Ultron Tabletop Laminator 150 mm/200 mm 01.06.2005 1 as is where is immediately
106559 Ultron UHI08-12 Ultron Tabletop Laminator 200 mm / 300 MM 1 as is where is immediately
109604 Ulvac Enviro Downstream Microwave Asher 1 inquire
106567 Ulvac FRE200E XeF2 Etching System 01.06.2018 1 as is where is immediately
108903 Ulvac Ceraus ZX-1000 PVD 200 MM 01.05.1996 1 as is where is immediately
108913 Ulvac Ceraus ZX-1000 PVD Cluster tool 200 MM 01.05.1996 1 as is where is immediately
108914 Ulvac Ceraus ZX-1000 PVD 200 MM 01.05.1995 1 as is where is immediately
108915 Ulvac Ceraus ZX-1000 PVD Cluster tool 200 MM 01.05.2000 1 as is where is immediately
108947 Ulvac Entron-EX W300 PVD (Physical Vapor Deposition) cluster tool, 3 chamber 300 mm 01.06.2011 1 as is where is immediately
108948 Ulvac Entron-EX W300 PVD (Physical Vapor Deposition) cluster tool, 3 x PVD chambers+ support chambers 300 mm 01.06.2006 1 as is where is immediately
109276 Ulvac Entron-EX: Aluminum Interconnect PVD (Physical Vapor Deposition) 300mm 1 as is where is
108610 UNAXIS SLR-720 RIE 150 mm 1 as is where is immediately
109532 UNAXIS SLR-720 REACTIVE ION ETCHER 200mm 01.06.2012 1 as is where is
102642 Unaxis/Balzers ZH620 Alumina Fill Fill Sputter Deposition System 125 mm 01.05.2000 1 as is where is immediately
84078 UNIPHASE 1103P-0187 HE NE Laser Spares 31.12.1994 1 as is where is immediately
87615 United Detector Technology, Inc. 40X Laser Power Meter Test 31.05.1992 1 as is where is immediately
106560 V-Tek TM-330 TM-330 150 mm/200 mm 1 as is where is
108891 V-TEK TM-50 Manual Taping Machine 1 inquire
110586 Vante Sealer Sealing SPARES 1 inquire
110587 Vante Sealer Sealing SPARES 1 inquire
110588 Vante Sealer Sealing SPARES 1 inquire
110589 Vante Sealer Sealing SPARES 1 inquire
111108 Varian E17545650 Stop, Liner Spares 5 inquire
111109 Varian E17903590 Guide 1, Liner Spares 5 inquire
111110 Varian E17904590 Liner, Entrance, Side 1 Spares 5 inquire
111111 Varian E17904820 Cover Plate, Center Liner Spares 5 inquire
111112 Varian E17544910 Liner, Exit, Side 2 Spares 5 inquire
111113 Varian E17699670 Liner, Exit, Side 3 Spares 5 inquire
111114 Varian E17904580 Liner, Centre, Side 1 Spares 5 inquire
111115 Varian E17452940 Inside End Block, 90 Deg Spares 5 inquire
111116 Varian E17903570 Liner, Entrance, Side 1 Spares 5 inquire
111117 Varian E17460333 Liner, Entrance, Source, 90 Degree Spares 5 inquire
111118 Varian E17699660 Liner, Exit, Side 1 Spares 5 inquire
111119 Varian E17903580 Liner, Entrance, Side 2 Spares 5 inquire
109584 Varian Vista PLAD EFEM Unit Only 300 mm 01.07.2006 1 as is where is immediately
111120 Varian E17391351 Shield, Resolver Chamber, 90 Exit, Impreg Spares 5 inquire
111121 Varian E17454233 Liner, End Top Plate, 90 Deg, Textured Spares 5 inquire
111122 Varian E17454243 Liner, End Bottom Plate, 90 Deg Spares 5 inquire
111123 Varian E17298153 Plate, Center, 90 Deg Magnet Spares 5 inquire
111124 Varian E17700663 Shield Wide Chamber Wall 90 Exit Spares 5 inquire
111125 Varian E17580463 Liner, Bottom Exit, 90 Degree Spares 5 inquire
111126 Varian E17580483 Liner, Top Exit, 90 Degree Spares 5 inquire
111127 Varian E17581793 Liner, Entrance, Top, 90 Deg Spares 5 inquire
111128 Varian E17581803 Liner, Entrance, Bottom, 90 Deg Spares 5 inquire
111129 Varian E17904803 Liner, Centre, Top Spares 5 inquire
111130 Varian E17904573 Liner, Centre, Bottom Spares 5 inquire
111131 Varian E17655533 Liner, Exit, Bottom, Textured Spares 5 inquire
111132 Varian E17903563 Liner, Entrance, Top Spares 5 inquire
111133 Varian E17903553 Liner, Entrance, Bottom Spares 5 inquire
111134 Varian 2420052 LAMP, INCANDESCENT, QUARTZ, TUNGSTEN, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111135 Varian E17291350 NUT 4-40, MO, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111136 Varian E17041850R2 FIL PFG V810 MC 1.2MM (THICKER), W, HIGH PURITY - XE Spares 5 inquire
111137 Varian E17291340R2 SCREW, CATHODE, COMMON, MO, HIGH PURITY - HC Spares 5 inquire
111138 Varian E17512680 KEY, ALIGNMENT, IHC MCS HE120, HIGH PURITY - VIISTA HE Spares 5 inquire
111139 Varian E17295210 SCREW SHCS 4-40, MO, HIGH PURITY - VISTA HP HC HCS EHP XE Spares 5 inquire
111140 Varian E17309460R3 PFG FILAMENT , �1.3MM W, HIGH PURITY - HCS Spares 5 inquire
111141 Varian E17414070 SHIELD,GROUND,TUBE,IHC SOURCE AL, HIGH PURITY - HCS Spares 5 inquire
111142 Varian E17299310 C-CLAMP, PFG, SUS, HIGH PURITY - HCS Spares 5 inquire
111143 Varian E17341380 SHIELD,FILAMENT POST, AL, HIGH PURITY - HCS Spares 5 inquire
111144 Varian E17292510 NUTPLATE BARCLAMP IHC SOURCE, MO, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111145 Varian E17293800 NUT FILAMENT TERMINAL PFG, MO, HIGH PURITY - XE/XER Spares 5 inquire
111146 Varian E17058140 NUT FILAMENT CLAMP, MO, HIGH PURITY - XE/XER Spares 5 inquire
111147 Varian E17292050R1 LINER END, CATHODE, MODIFIED, SHALLOW TEXTURE, W, HIGH PURITY - HCS Spares 5 inquire
111148 Varian E17286670 HOOK ARC CHAMBER, W, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111149 Varian E17292050 E17292050 LINER END CATHODE TUNGSTEN - VIISta HP/HC/HCS Spares 5 inquire
111150 Varian E17297920MOD4 FILAMENT, IHC SOURCE 0.05 MM W, MODIFIED, W, HIGH PURITY - HCS Spares 5 inquire
111151 Varian E17292070 LINER END REPELLER TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111152 Varian E17292050 LINER END CATHODE TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111153 Varian KBE1228 BEARING KIT RMS IDLER - - Spares 5 inquire
111154 Varian E17297920R9 FILAMENT,ELS,SLC, W, HIGH PURITY - HCS Spares 5 inquire
111155 Varian E17296360 CLAMP,CATHODE STRAP,IHC SOURCE AL, HIGH PURITY - HCS Spares 5 inquire
92468 Varian E11040440 Rev 7 Secondary workstation for implanter Spares 01.07.1995 1 as is where is immediately
111156 Varian E17284820 PLATE INSULATOR MOUNTING SINGLE, AL, HIGH PURITY - HC Spares 5 inquire
111157 Varian E17292040 LINER SIDE TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111158 Varian E17284830 PLATE, INSUL MOUNTING, FILAMENTS, IHC SOURCE, AL, HIGH PURITY - HCS Spares 5 inquire
111159 Varian E17292180 LINER BASE TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111160 Varian E17285280 REPELLER IHC SOURCE, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111161 Varian E17089940 SUPPORT ARC CHAMBER CENTER, MO, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111162 Varian E17089930 SUPPORT ARC CHAMBER LEFT HAND, MO, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111163 Varian E17089931 SUPPORT ARC CHAMBER RIGHT HAND, MO, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111164 Varian E17292540 CLAMP FILAMENT IHC 80, TZM, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111165 Varian E17314880 REPELLER ASSY, IHC 3000, VER 3, W, HIGH PURITY - VIISTA HE Spares 5 inquire
111166 Varian E17292540R3 CLAMP FILAMENT IHC 80 FOR FILAMENT ELS SLC, TZM, HIGH PURITY - HCS Spares 5 inquire
111167 Varian E17289910 REPELLER ASSY IHC SOURCE VER. 2, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111168 Varian E17347420R1 LINER SHELL, ANGLE CONTROL AL, HIGH PURITY - HCS Spares 5 inquire
111169 Varian E17324960 CLAMP .05 DIA FILAMENT PFG, TA, HIGH PURITY - XE/XER Spares 5 inquire
110658 Varian 3190 Sputtering System 100 mm 1 as is where is immediately
111170 Varian E17312370 NOZZLE TUNGSTEN BASE PLATE IHC V80, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111171 Varian E17327340 PLATE END CATHODE IHC 80 VER 3, W, HIGH PURITY - VIISTA HC Spares 5 inquire
111172 Varian E17327340 E17327340 PLATE END CATHODE IHC 80 VER 3 - VIISta HP/HC/HCS Spares 5 inquire
111173 Varian E17312370 E17312370 NOZZLE TUNGSTEN BASE PLATE IHC V80 - VIISta HP/HC/HCS Spares 5 inquire
111174 Varian E17295630R5 E17295630R5] CATHODE SHAFLESS - VIISta HP/HC/HCS except GE Spares 5 inquire
111175 Varian E17220310 END CAP IHC SOURCE VIISTA810 HP EHP, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111176 Varian E17220340 PLATE SIDE IHC ARC CHAMBER V810 HP EHP, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111177 Varian E17304690 END CAP REPELLER IHC 810 VER 2, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111178 Varian E17512690 PLATE, SIDE, IHC MCS, HE120, W, HIGH PURITY - VIISTA HE Spares 5 inquire
111179 Varian E17327330 PLATE END REP IHC 80 VER 3, W, HIGH PURITY - VIISTA HC Spares 5 inquire
99404 Varian 350D (Spares) Implanter (Spare Parts) spares 1 as is where is immediately
111180 Varian E17335820R2 SOURCE APERTURE, BACK PLATE, HC, MODIFIED EXTENDED W, W, HIGH PURITY - HCS Spares 5 inquire
111181 Varian E17295630R7 CATHODE USED ON PH3, 9 MM - VIISTA HE Spares 5 inquire
111182 Varian W220916001-23R23 CATHODE SHAFTLESS,GE(II),F21,BULLET TYPE,GEF4,9MM,SIDE 1.2MM,W, HIGH PURITY - HC Spares 5 inquire
111183 Varian E17327340R3 PLATE, END, CATHODE, 25MM, W, HIGH PURITY - HCS Spares 5 inquire
111184 Varian W220916001-23R24 WAA2301131 CATHODE SHAFTLESS, GE(II), F21, BULLET TYPE, GEF4, 7.6MM W, SIDE 0.68MM, HIGH PURITY - HCS Spares 5 inquire
111185 Varian E17295630R23 CATHODE ASSY,MODIFIED (BOTTOM 7.6MM), W, HIGH PURITY - HCS Spares 5 inquire
111186 Varian WW2091030-23 PH3 SOURCE SPECIES CATHODE PM KITS, W, HIGH PURITY - XER/EHP Spares 5 inquire
111187 Varian E17335820R4 APERTURE, BACK PLATE (EXTENDED & NON-GUIDE) HC, W, HIGH PURITY - HC Spares 5 inquire
111188 Varian E17441100R4-1 APERTURE, BACK PLATE MAIN, IHC, 3IN1 HCS EXTENDED 3MM THICK W, HIGH PURITY - VIISTA HCS Spares 5 inquire
111189 Varian E17327320 PLATE SIDE IHC 80 VER 3, W, HIGH PURITY - VIISTA HC Spares 5 inquire
111190 Varian E17512700R1 ELECTRODE,SIDE,IHC REDUCE 5MM, MCS SOURCE, W, HIGH PURITY - VIISTA HE Spares 5 inquire
111191 Varian E17292520 BAR, CATHODE, IHC 80, W, HIGH PURITY - HC Spares 5 inquire
111192 Varian E17063221 FEED THRU ASSY DUAL VAPORIZER, W, HIGH PURITY - VIISTA 810 EHP_VAP Spares 5 inquire
111193 Varian E17335810 APERTURE INSERT IHC 300MM VIISTA HC, HIGH PURITY - HC Spares 5 inquire
111194 Varian E17388860R3 INSERT, APERTURE, 2IN1, UPGRADED HP 2.1 MM THICK, W, HIGH PURITY - HC Spares 5 inquire
111195 Varian E17302120 BAR FIL CLAMP SHORT V810, MO, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
108892 VARIAN 929-0081 Star Cell Ion Pump Power Unit SPARES 1 inquire
111196 Varian E17389870R20 APERTURE, INSERT, MATCHING TO NO TRENCH, IHC HCS W, HIGH PURITY - HCS Spares 5 inquire
111197 Varian E17292530 BAR FILAMENT VER2 IHC 80, MO, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111198 Varian E17441100R4 APERTURE, INSERT, IHC, 3IN1 HCS 3MM THICK W, HIGH PURITY - VIISTA HCS Spares 5 inquire
111199 Varian E17302110 BAR CATHODE AND REPELLER CLAMP LONG V810, MO, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111200 Varian E17284350R10 BAR CLAMP CATHODE R1 IHC, TZM, HIGH PURITY - VIISTA HC Spares 5 inquire
111201 Varian E17220370 PLATE FRONT IHC SOURCE VIISTA810 HP EHP, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111202 Varian E17288190R1 BAR CLAMP CATHODE R1 EHP, TZM, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111203 Varian E17441100R1 E17441100] [E17441100R1] APERTURE, BASE LINER HCS INSERT UPGRADE EXTENDED - VIISta HCS Spares 5 inquire
111204 Varian E17327310 BASE PLATE,IHC 80,VER 3, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111205 Varian E17284350R3 SHAFTLESS CATHODE CLAMP, TZM, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111206 Varian E17343750 INSERT SUPPRESSION ELECTRODE 300MM 5DEG HE, W, HIGH PURITY - VIISTA HC Spares 5 inquire
111207 Varian E17343760R1 SUPP ELECTRODE UPGRADE INSERT HIGH ( BIG APERTURE HOLE) HC W, HIGH PURITY - VISTA HCS Spares 5 inquire
111208 Varian E17343760 INSERT SUPPRESSION ELECTRODE 300MM 5 DEG HE, W, HIGH PURITY - VISTA HCS Spares 5 inquire
111209 Varian E17385700 INSERT ELECTRODE SUPP VERSION 2 LE HCS, W, HIGH PURITY - VIISTA HCS Spares 5 inquire
111210 Varian E17385690 INSERT ELECTRODE SUPP VERSION 2 HE HCS, W, HIGH PURITY - VISTA HCS Spares 5 inquire
111211 Varian E17335830 APERTURE BASE PLATE IHC 300MM VIISTA HC V80, W, HIGH PURITY - HC Spares 5 inquire
111212 Varian E17348680 BASE SUPPORT SUPPRESSION ELECTRODE, W, HIGH PURITY - HC Spares 5 inquire
111213 Varian E17389860 E17389860] [E17389860R2] APERTURE, BASE HCS MAIN UPGRADE EXTENDED - VIISta HCS Spares 5 inquire
111214 Varian E17389860R2 APERTURE, BASE HCS MAIN UPGRADE EXTENDED, HIGH PURITY - VISTA HCS HC Spares 5 inquire
111215 Varian E17285160 APERTURE BASEPLATE IHC702 W MAIN UPGRADE, HIGH PURITY - HC Spares 5 inquire
111216 Varian E17273990 ELECTRODE SUPRESSION INSERT TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
91249 VARIAN MBB W CVD 200 mm 1 as is where is
111217 Varian E17335830R1 APERTURE BASEPLATE H706 W MAIN UPGRADE, EXTENTED, W, HIGH PURITY - VIISTA HC Spares 5 inquire
84082 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type Pump 01.05.1999 1 as is where is immediately
111218 Varian E17386490 BASE,SUPPORT,SUP ELEC,4 DEG 70MM X 4.7MM, HIGH PURITY - VISTA HCS Spares 5 inquire
111219 Varian E17288230R9 BASEPLATE,IHC 810, NONVAPORIZER, MI, W, HIGH PURITY - XER/EHP Spares 5 inquire
111220 Varian E17288230 BASEPLATE IHC 810, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111221 Varian E17369550 STEM,S/OPEN,ELECTRODE PLATE,70MM MO, HIGH PURITY - HCS Spares 5 inquire
111222 Varian E17359110 STEM SUPPORT ELECTRODE HEAD, MO, HIGH PURITY - HCS Spares 5 inquire
111237 VARIAN E17790370 Block, Support, Clipper - VARIAN Spares 5 inquire
111238 VARIAN E17790360 Block, Support, Clipper - VARIAN Spares 5 inquire
111239 VARIAN E17452930 Outside End Block, 90 Deg - VARIAN Spares 5 inquire
111240 VARIAN E11383290 Liner, Beam Dump, 90 Degree - VARIAN Spares 5 inquire
111241 VARIAN E17094620 BUSHING BURNTHRU SENSOR, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111242 VARIAN E17094620 E17094620 Bushing Burnthru Sensor, Ceramic - VIISta 80 HP/HC/HCS Spares 5 inquire
111243 VARIAN E17322160 STANDOFF CERAMIC GRAPHITE PROFILER, CERAMIC, HIGH PURITY - VISTA HC Spares 5 inquire
111244 VARIAN E17063980 SCREW LAMP INSULATOR, CERAMIC, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111245 VARIAN E59000002 STANDOFF CERAMIC 1/2"L 3/8"OD 6-32 THD, CERAMIC, HIGH PURITY - XE/XER Spares 5 inquire
111246 VARIAN F9826001 INSULATOR, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111247 VARIAN E17296000 INSULATOR CATHODE FEEDRHRU, CERAMIC, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111248 VARIAN G17697980R1 INSULATOR SINGLE SHIELD INNER,D2 HCS, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111249 VARIAN E17280850 INSULATOR SUPPRESSION ASSY, CERAMIC, HIGH PURITY - XE Spares 5 inquire
110738 VARIAN VIISION 200 Plus High Current Implanter 150 MM 01.06.1997 1 as is where is immediately
111250 VARIAN G17697980R2 INSULATOR SINGLE SHIELD OUTER,D2 HCS, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111251 VARIAN E17276700R2-1 INSULATOR SINGLE SHIELD INNER,D2,HC, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111252 VARIAN E17275900R3 INSULATOR SMALL, 20KV, D2, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111253 VARIAN E17276700R2-2 INSULATOR SINGLE SHIELD OUTER,D2,HC, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111254 VARIAN E17276700R2 INSULATOR, LONG, 20KV,D2,HC, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111255 VARIAN E17125680 INSULATOR, CERAMIC, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111256 VARIAN E17270580 INSULATOR FILAMENT PLASMA FLOOD GUN, CERAMIC, HIGH PURITY - XE/XER Spares 5 inquire
111257 VARIAN E17540540R3 UMBRELLA INSULATOR PFG CERAMIC CAP KIT, CERAMIC, HIGH PURITY - VISTA HCS Spares 5 inquire
111258 VARIAN G17697980R3 INSULATOR TWIN SHIELD INNER,D2 HCS, CERAMIC, HIGH PURITY - VIISTA HCS Spares 5 inquire
111259 VARIAN E17296280 INSULATOR DUAL MOUNT IHC SOURCE, CERAMIC, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111260 VARIAN G17697980R4 INSULATOR TWIN SHIELD OUTER,D2 HCS, CERAMIC, HIGH PURITY - VIISTA HCS Spares 5 inquire
111261 VARIAN E17540540 INSULATOR, FILAMENT, PFG, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111262 VARIAN E17540540 E17540540] INSULATOR, FILAMENT, PFG - VIISta HP/HC/HCS Spares 5 inquire
111263 VARIAN E17540540R5 INSULATOR, FILAMENT,PFG, MODIFIED, MI, CERAMIC, HIGH PURITY - XE/XER Spares 5 inquire
111264 VARIAN E11087960R1 70 DEGREE WAVE GUIDE�HP, TEXTURE KITS CG Spares 5 inquire
110763 VARIAN EHPi500 Medium Current Ion Implanter 200 mm 1 as is where is immediately
95409 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type Pump 01.05.1999 1 as is where is immediately
72133 Varian E17015570 SCANACT,COUNTER WEIGHT Spares 2 as is where is immediately
72134 Varian E11002430 WAFER COOLING CONTROLLER Spares 2 as is where is immediately
72136 Varian E17064301 BEAM SHIELD Spares 1 as is where is immediately
72138 Varian E17032320 CHASIS GUIDE M FRME ESSERV Spares 2 as is where is immediately
72140 Varian E11001320 REV B TARGET, FOCUS, FARADAY Spares 31.10.1995 1 as is where is immediately
72141 Varian E11002183 PEDESTAL ASSY,MULTI 150/200MM Spares 1 as is where is immediately
72143 Varian E17026720 PLATE,GROUND,GRAPHSCAN-SCAN Spares 1 as is where is immediately
72144 Varian E17026680 PLATE,GROUND,GRAPHSCAN Spares 1 as is where is immediately
72145 Varian E17101600 COVER,DUAL VAPORIZER Spares 1 as is where is immediately
72146 Varian BEAM SHIELD Spares 1 as is where is immediately
72147 Varian VARIAN GRAPHITES Spares 3 as is where is immediately
72148 Varian SOURCE COVER Spares 1 as is where is immediately
72149 Varian MKS HPS VALVE 62161 Spares 1 as is where is immediately
72150 Varian ISOLATION VALVE PARTS Spares 1 as is where is immediately
72151 Varian various VARIAN SOURCE PARTS Spares 5 as is where is immediately
72152 Varian MKS HPS VALVE 69542 Spares 1 as is where is immediately
109277 Varian VIISta 810 Medium Current Implanter 300mm 1 as is where is
92387 Varian E11030450 REV 3 VERT SCAN ACTUATOR Spares 31.05.1995 2 as is where is immediately
111338 VARIAN E17335810R13 APERTURE,INSERT,HC, NON-GUIDE,3MM THICK, IMPREGNATED, GPH, CG - HC Spares 5 inquire
108779 VARIAN SD331 Mechanical Vacuum Pump, 2ea Available 1 inquire
108780 VARIAN 936-70 SP Helium Leak Detector 1 as is where is
108540 Varian VIISta HC High Current Implanter 300 mm 01.06.2006 3 as is where is
110590 Varian Varian Power Supply SPARES 1 inquire
110591 Varian Varian Match Match SPARES 1 inquire
102593 Various Vacuum Valves Various Vacuum valves (MKS, Varian, Fuji Seiki) and fittings SPARES 10 as is where is immediately
77210 various MFCs MFC LOT Qualiflow, Brooks 5850 Cracked/broken MFCs for refurb. spares 01.01.2009 1 as is where is immediately
80175 VAT PM-5 Adaptive Pressure controller spares 01.01.2012 1 as is where is immediately
101025 VAT 14040-je24-0004 HV Gate Valve SPARES 31.05.1996 1 as is where is immediately
101026 VAT 14040-je24-0004 HV Gate Valve SPARES 31.05.1996 1 as is where is immediately
101027 VAT 14040-je24-0004 HV Gate Valve SPARES 31.05.1996 1 as is where is immediately
84408 VAT 14046-PE44-1016 Gate Valve 8" Spares 1 as is where is immediately
107011 Veeco Dektak 200 Si Contact Profilometer - for spares use (Not operational condition) 150 mm 01.06.2000 1 as is where is immediately
106007 VEECO E475 Turbodisc MOCVD System configured for AsP use 100 mm 01.09.2010 1 as is where is immediately
108331 Veeco Dimension 7000 Atomic Force Microscope (AFM) 200mm 1 as is where is immediately
109116 Veeco Vx340 Dimension Atomic Force Proflier 300 mm 01.06.2010 1 as is where is immediately
108893 VEECO AP-150 Automtic Four Point Probe, for up to 6" Wafers 150 MM 1 as is where is 2 weeks
108894 VEECO Dektak 3030ST Profilometer 1 inquire
108916 Veeco Dimension X3D Model 340 Atomic Force Microscope 300 MM 01.05.2006 1 as is where is immediately
108781 VEECO MS-35T Turbopumped Leak Detector 1 as is where is
109600 Veeco Dimension 3100 Atomic Force Microscope 1 inquire
106563 Veeco Wyko NT3300 3D Bump Height (Veeco) 150 mm/200 mm 1 as is where is immediately
83907 VERIFLO CORP. 42800147 PRESSURE VALVE 01.02.1987 1 as is where is immediately
103383 Vero Electronics 116-010069H Monovolt PK120 Power Supply, +5V 20A Spares 30.04.2001 4 as is where is immediately
110592 Verteq Verteq Generator SPARES 1 inquire
107010 Verteq 1600-34 Spin Rinse Dryer (Single Stack) 150 mm 01.03.1997 1 as is where is immediately
15619 VERTEQ FLUOROCARBON RD4500 CLASSIC SRD 100 mm 01.04.1986 2 as is where is immediately
107028 Verteq 1600-34 Double Stack Spin Rinse Dryer 150 mm 01.03.1995 1 as is where is immediately
108973 Verteq Superclean 1600-55M Double Stack SRD (Set up for solar wafer use) 100 mm, M0, M2 01.02.1999 1 as is where is immediately
108215 VERTEQ SUPERCLEAN 1600 Spin Rinser Dryer 1 as is where is
83826 VEXTA UPH569H-B 5 PHASE STEPPING MOTOR SPARES 1 as is where is immediately
103382 Vexta BLD1024H Brushless DC Motor Driver, DC24V Spares 01.05.1995 1 as is where is immediately
108611 Vision Semicon VSP-88A(H) Oven 200 mm 01.05.2005 1 as is where is immediately
108612 Vision Semicon VSP-88H Oven 200 mm 01.05.2005 1 as is where is immediately
108613 Vision Semicon VSP-88H Oven 200 mm 01.05.2007 1 as is where is immediately
106755 VISTEC LWM9000 CD SEM for 65 nm mask measurement Reticle / 150 mm 01.06.2005 1 as is where is immediately
84233 Viton 43-2-131 O-ring seal, Roth & Rau Spares 1 as is where is immediately
108895 VLSI STANDARDS INC. Various Step Height Standards, Various Models, 12ea Available SPARES 1 inquire
106756 WAFER MASTERS SAO-302LP TSV Cu Anneal 300 mm 01.06.2000 1 as is where is
77171 WARNER LINEAR K2G20-24V-BR-10lsc LINEAR ACTUATOR , 24V DC Spares 01.11.2006 1 as is where is immediately
77164 Wasco SV129-31W3A/2065 Vacuum pressure switch 75 Torr Spares 1 as is where is immediately
98475 Watkin Johnson UTC 800 atmospheric wafer handling robot( WJ-999) 150/200mm 3 as is where is
108981 Watkins Johnston 903169-001 rev D WJ 952 MFC REGULATION PCB Spares 01.06.1994 1 as is where is immediately
77165 WATLOW DC10-40P0-0000 SOLID STATE POWER CONTROL, AMP: 55 AMPS, NEW Spares 1 as is where is immediately
82219 WAVECREST DTS-2070C (-52) Credence Duo Wavecrest digital time controller spares 31.05.1999 2 as is where is immediately
105856 Weir HSS 100/5 Power Supply Unit Spares 31.05.1995 1 as is where is
105857 Weir HSS 100/5 Switching Power Supply Unit AC to DC Spares 31.05.1995 1 as is where is immediately
100712 Weiss VT 7012 S2 Temperature Shock Test Chamber 1 as is where is immediately
80238 Weiss TS130 Thermal shock testing chamber Reliability 01.05.1995 1 as is where is immediately
108782 WENTWORTH LABS HOP "Hands Off Probe" Micropositioner, 2ea Available 1 as is where is
109033 WEST BOND 10490 Convertible Bonder , Wedge to Ball Assembly 1 as is where is immediately
109034 WEST BOND 2416B Automatic Wedge Bonder Assembly 1 as is where is immediately
108783 WEST-BOND 7200A Manual Epoxy Die Bonder Assembly 1 as is all rebuilt immediately
84100 Wiha 368 3 SW Ball end screw driver 4 as is where is immediately
105863 WIKA 233.50.100 -1 BAR PRESSURE GAUGE G1/2A D1001/2" Spares 31.05.1997 1 as is where is immediately
93087 Woollam VUV-VASE (Gen II) Ellipsometer 300 MM 1 as is where is immediately
94605 Xantrex XFR 40-70 NV8200P DC Power Supply Magnet SPARES 1 as is where is
53033 Yamatake honeywell WLS302 switch spares 1 as is where is immediately
106835 Yaskawa XU RC350D-C31+ERCR-RS09-A003 atmospheric wafer robot( DNS SU-3000) 300mm 1 inquire
106836 Yaskawa XU RC350D-C61+ERCR-RS10-C003 atmospheric wafer robot( DNS SU-3000) 300 mm 3 as is where is immediately
106837 Yaskawa XU RC350D-K01+ERCR-RS09-A003 atmospheric wafer robot( DNS SU-3100) 300mm 1 inquire
106838 Yaskawa XU RCM9205 with ERCR-NS01-A003 controller atmospheric wafer robot for KLA 300mm 1 inquire
106839 Yaskawa XU RCM9206 robot alone atmospheric wafer robot for KLA 300mm 2 inquire
106840 Yaskawa XU RSM53E0 with XU-CM6180 controller atmospheric wafer robot( Ebara Frex 300 CMP) 300mm 4 inquire
98498 Yaskawa XU RCM9206 atmospheric wafer robot( KLA 2835i) 300mm 2 as is where is
106979 YASKAWA ERCR-RS10-C003 CONTROLLER FOR DNS SU3000 ROBOT Robot 01.09.2006 3 as is where is immediately
109608 Yield Engineering YES-450PB6-2P Polyimide Bake Oven 1 inquire
109609 Yield Engineering YES-450PB Polyimide Bake Oven 1 inquire
109614 Yield Engineering YES-15 HMDS Vapor Prime Oven 1 inquire
109616 Yield Engineering 58 HMDS Vapor Prime Oven 1 inquire
109617 Yield Engineering 15F HMDS Vapor Prime Oven 1 inquire
109618 Yield Engineering LP#-M3 HMDS Vapor Prime Oven 150 mm 1 inquire
109619 Yield Engineering 15 HMDS Vapor Prime Oven 150 mm 1 inquire
109621 Yield Engineering 58SM HMDS Vapor Prime Oven 150 mm 1 inquire
106757 YOKOGAWA TS6700 LCD DRIVER TESTER TEST 01.06.2003 1 as is where is
108056 Yokogawa AL6095 Automated test equipment TEST 1 as is where is
100692 Yokogawa ST6730A Logic Tester TEST 2 as is where is
102577 Yokogawa TS670 Tester TEST 3 inquire immediately
110739 Yushin WSS Demount Tool DM5 Fully Automatic Wafer demounter 200-300 mm 01.06.2011 1 as is where is immediately
110740 Yushin WSS8001D Fully Automatic Wafer demounter 200 MM 01.06.2006 1 as is where is immediately
108545 Zeiss Axiospect 300 Optical Review System 300 mm 4 as is where is
110600 ZEISS Stemi 2000 Stereozoom Microscope Assembly 1 inquire immediately
84242 Zeiss corygon 2.8/60mm 2.8/60mm objective lens 1 as is where is immediately
83738 Zeiss Axiotron (spare Parts) Axiotron microscope spare parts 1 as is where is immediately
84077 Zeiss C35 35mm Camera with microscope attachments 1 as is where is immediately
84097 Zeiss AxioMAT screws AxioMAT Thumbscrews, spare parts 1 as is where is immediately
84101 Zeiss Turret Turret 4 position for Zeiss, Leica and others, good condition 1 as is where is immediately
83861 Zeiss 910137 Microscope illumination transformer 1 as is where is immediately
83864 Zeiss 990634-5100 Joystick, Carl Zeiss 2 as is where is immediately
83865 Zeiss 477473-0207 Cover plate 5 as is where is immediately
83866 Zeiss 475690-0206 Joint plate 6 as is where is immediately
108972 Zeiss Axiospect Wafer Inspection Microscope 300 mm 01.06.2011 1 as is where is immediately
108216 ZEISS AXIOTRON Inspection Micro Scope 1 as is where is
108217 ZEISS AXIOTRON Inspection Micro Scope 1 as is where is
108218 ZEISS AXIOTRON High Perfomance Micro Scope 01.06.2008 1 as is where is
83939 Zeiss Stage part moveable x,y stage part 1 as is where is immediately
71497 ZENITH ZPS-400 Multiple voltage power supply spares 1 as is where is immediately


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry