fabsurplus.com

List of AP equipment available for sale at fabsurplus.com

The following are the items available for sale related to AP at SDI fabsurplus.com. To inquire about the AP equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of AP items.



SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
110711 AP & S AWP Fully automated Wet Bench 200 MM 01.06.1997 1 as is where is immediately
34740 AP & S TwinStep-B H3P04 Semi-Automatic Wet Bench, used for H3PO4 with a 2 stage Megasonic and QDR 200 mm 01.10.2005 1 as is where is immediately
100908 AP Systems KORONA-1200P METAL RTP 300 mm 31.05.2005 1 as is where is
106883 APEX 5513 RF Generator Spares 01.06.2015 4 as is where is immediately
108132 APPLIED BIOSYSTEM ABI-3700 ANALYZER Automatic Sequencer 01.06.2000 1 as is where is
110848 Applied Materials IT1011-N31-X4 SMC UPA Regulator (X4) - CMP HEAD Spares 5 inquire
110849 Applied Materials 0090-00963 UPA Pressure Transducer - CMP HEAD Spares 5 inquire
110850 Applied Materials 0140-77300 Wafer loss sensor Cable - CMP HEAD Spares 5 inquire
110851 Applied Materials 0140-77631 Head Rotation/ Resolver cable - CMP HEAD Spares 5 inquire
100868 Applied Materials Mirra Mesa CMP System 200 mm 1 inquire 5 months
110852 Applied Materials 0021-77866 Drive spindle clamp - CMP HEAD Spares 5 inquire
108037 Applied Materials Various Spare Parts Spare Parts for sale Spares 1 as is where is immediately
110853 Applied Materials 0190-77116 Flexible disk - CMP HEAD Spares 5 inquire
110854 Applied Materials EE-SX672 Sweep Home flag sensor - CMP HEAD Spares 5 inquire
109063 Applied Materials Mirra Mesa Oxide CMP system, with SMIF 200 mm 1 inquire 1 month
110855 Applied Materials 0140-7763 Head Rotation Power cable HD 1 - CMP HEAD Spares 5 inquire
110856 Applied Materials 0140-7764 Head Rotation Power cable HD 2 - CMP HEAD Spares 5 inquire
100873 Applied Materials Mirra Mesa Integrated Oxide/STI CMP 200 mm 31.05.1999 1 inquire
110857 Applied Materials 0140-7765 Head Rotation Power cable HD3 - CMP HEAD Spares 5 inquire
110858 Applied Materials 0140-7766 Head Rotation Power cable HD4 - CMP HEAD Spares 5 inquire
110859 Applied Materials MIRRA MESA (SPARE PARTS) Head sweep linear guide - CMP HEAD Spares 5 inquire
108556 Applied Materials Uvision 600SP Brightfield Inspection System 300 mm 01.05.2008 1 as is where is immediately
110860 Applied Materials 0100-77040 Head Pneumatics board Titan - CMP HEAD Spares 5 inquire
108557 Applied Materials Centura EPI Epitaxial Deposition, reduced pressure, 2 chamber 300 mm 01.05.2002 1 as is where is immediately
110861 Applied Materials MIRRA MESA (SPARE PARTS) Wafer loss sensor pcb - CMP HEAD Spares 5 inquire
108558 Applied Materials ENDURA CL PVD Cluster tool 300 mm 01.05.2002 1 as is where is
109582 Applied Materials P5000 CVD SiN Process with 2 x CVD chambers 150 MM 01.06.1989 1 as is where is immediately
110862 Applied Materials 0190-38704 SMC2000 - Node 24 - CMP Cleaner Spares 5 inquire
108559 Applied Materials ENDURA II Chamber PVD 300 mm 01.05.2006 1 as is where is immediately
109583 Applied Materials P5000 CVD TEOS Process with 2 x CVD chambers 150 MM 01.06.1989 1 as is where is immediately
110863 Applied Materials 0040-64397 Megasonic Halar Tank - CMP Cleaner Spares 5 inquire
108560 Applied Materials ENDURA II Chamber PVD 300 mm 01.05.2018 1 as is where is immediately
110864 Applied Materials MS1-286X70-4A-Q Megasonic Transducer Plate - CMP Cleaner Spares 5 inquire
108561 Applied Materials ENDURA II Chamber PVD 300 mm 01.05.2018 1 as is where is immediately
110865 Applied Materials AP-50 Megasonic White Knight Pump - CMP Cleaner Spares 5 inquire
110866 Applied Materials Mirra Mesa (Spare parts) mega genentor - CMP Cleaner Spares 5 inquire
110867 Applied Materials ETN23A-SC-B (Orion Pel-Thermo) Megasonic Heat Exchanger - CMP Cleaner Spares 5 inquire
110868 Applied Materials 0021-79131 Walking Beam Finger Pins - CMP Cleaner Spares 5 inquire
110869 Applied Materials 0040-43238 Solid PEEK mega rollar - CMP Cleaner Spares 5 inquire
91158 Applied Materials Centura WCVD WSIX(OPTIMA) 200 mm 31.05.2000 1 inquire
96534 Applied Materials Mirra Mesa CMP system 200 mm 1 inquire
110870 Applied Materials 0190-77181 Brush Position Roller Servomotor Scrubber - CMP Cleaner Spares 5 inquire
91159 Applied Materials Centura WCVD WSIX(OPTIMA) 200 mm 31.05.2001 1 inquire
110871 Applied Materials 0190-77182 Scrubber Brush Servomotor - CMP Cleaner Spares 5 inquire
91160 Applied Materials Centura WCVD WxZ Optima 200 mm 1 as is where is
110872 Applied Materials 0190-77212 Output Station Servo Motor - CMP Cleaner Spares 5 inquire
96537 Applied Materials Mirra Ontrak Poly/STI CMP 200 mm 31.05.1999 1 inquire
110873 Applied Materials Mirra Mesa (Spare parts) SRD finger set - CMP Cleaner Spares 5 inquire
91162 Applied Materials Centura WCVD WSIX(OPTIMA) 200 mm 1 as is where is
96538 Applied Materials Mirra Ontrak Poly/STI CMP 200 mm 31.05.1999 1 inquire
110874 Applied Materials 0190-77200 SRD Servo Motor - CMP Cleaner Spares 5 inquire
108059 Applied Materials CENTURA 2 DPS Deep Trench Etcher, 2 chambers 150 mm 1 as is where is
110875 Applied Materials 1080-01207 Walking Beam Servo Motor x - CMP Cleaner Spares 5 inquire
108060 Applied Materials Centura 5300 HDP Omega Dry etch cluster tool with 2 Chambers SIO2 etch 200 mm 1 as is where is
110620 Applied Materials Centura Enabler Dry etcher 300 mm 1 as is where is
110876 Applied Materials 1080-01207 Walking Beam Servo Motor y - CMP Cleaner Spares 5 inquire
97053 Applied Materials ENDURA2 Chamber only Amber-(Ti) chamber 300 mm 1 as is where is immediately
110877 Applied Materials 0090-77173 Wafer Present Switch - CMP Cleaner Spares 5 inquire
97054 Applied Materials ENDURA2 Chamber only ESIP chamber 300 mm 1 as is where is immediately
110878 Applied Materials 0010-04118 Wafer Presence Sensor - CMP Cleaner Spares 5 inquire
110879 Applied Materials 0090-00915 Megasonice progammable level sensor - CMP Cleaner Spares 5 inquire
91168 Applied Materials Centura WCVD WSIX(OPTIMA) 200 mm 31.05.2000 1 inquire
109088 Applied Materials SEMVISION G3 Lite Defect Review SEM 300 mm 01.08.2007 1 as is where is 6 months
110880 Applied Materials 0090-00916 Megasonic Tank High Level Switch - CMP Cleaner Spares 5 inquire
97057 Applied Materials ENDURA2 Chamber only MOALD (IMP Ti) Chamber 300 mm 1 as is where is immediately
110881 Applied Materials 0090-00917 Megasonic Tank Level Level Switch - CMP Cleaner Spares 5 inquire
110882 Applied Materials 0090-77239 Harness, H202 HH Level Sensor - CMP Cleaner Spares 5 inquire
110883 Applied Materials 0090-77240 Harness, H202 High Level Sensor - CMP Cleaner Spares 5 inquire
110884 Applied Materials 0090-77241 Harness, H202 Low Level Sensor - CMP Cleaner Spares 5 inquire
97061 Applied Materials ENDURA2 Chamber Only WSI chamber only 300 mm 1 as is where is immediately
110885 Applied Materials 0090-77242 Harness, H202 LL Level Sensor - CMP Cleaner Spares 5 inquire
97062 Applied Materials ENDURA2 Chamber Only WSI chamber only 300 mm 1 as is where is immediately
110886 Applied Materials 1400-01056 Input Shuttle Limit Sensors - CMP Cleaner Spares 5 inquire
109095 Applied Materials 0010-10527 Polyimide ESC PEDESTAL, MxP-5200 Poly 8" Flat 200 mm 1 as is where is immediately
110887 Applied Materials 0090-77203 Shuttle Wafer Presence Switch - CMP Cleaner Spares 5 inquire
109352 Applied Materials 0090-05530 RF GENERATOR Spares 1 as is where is
110888 Applied Materials 0090-77179 Gripper sensor harness, arm 1 - CMP Cleaner Spares 5 inquire
109353 Applied Materials 0090-05530 RF GENERATOR Spares 1 as is where is
110889 Applied Materials 0090-77180 Gripper sensor harness, arm 2 - CMP Cleaner Spares 5 inquire
109354 Applied Materials P-5000 RF GENERATOR Spares 1 as is where is
110890 Applied Materials 0090-77181 Gripper sensor harness, arm 3 - CMP Cleaner Spares 5 inquire
109355 Applied Materials P-5000 RF GENERATOR Spares 1 as is where is
110891 Applied Materials 0090-77182 Gripper sensor harness, arm 4 - CMP Cleaner Spares 5 inquire
109356 Applied Materials PVD RF MATCH RF GENERATOR Spares 1 as is where is
110892 Applied Materials 0090-77183 Gripper sensor harness, arm 5 - CMP Cleaner Spares 5 inquire
100909 Applied Materials CENTURA DPS ll MESA T2 Poly Etcher 300 mm 31.05.2015 1 as is where is
110893 Applied Materials 1400-01055 Photosensor Interrupter L Shape W/LED & Amp - CMP Cleaner Spares 5 inquire
91182 Applied Materials P5000 CVD Delta Teos 150 mm 31.05.1993 1 as is where is
100910 Applied Materials CENTURA DPS ll MESA T2 Poly Etcher 300 mm 31.05.2015 1 as is where is
109358 Applied Materials e-MAX RF MATCH RF GENERATOR Spares 6 as is where is
110894 Applied Materials 0090-00861 Harness, Wafer Present Switch - CMP Cleaner Spares 5 inquire
91183 Applied Materials P5000 CVD TEOS, DxL 200 mm 1 as is where is
100911 Applied Materials CENTURA DPS ll MESA T2 Poly Etcher 300 mm 31.05.2016 1 as is where is
109359 Applied Materials HDP-TOP RF GENERATOR Spares 1 as is where is
110895 Applied Materials 0021-79644 Megtank Roller holder - CMP Cleaner Spares 5 inquire
109360 Applied Materials MARKⅡDPS RF GENERATOR Spares 6 as is where is
110896 Applied Materials 0010-77582 Idler Assembly - CMP Cleaner Spares 5 inquire
91185 Applied Materials P5000 CVD DxL 150 mm 31.05.1995 1 as is where is
100913 Applied Materials DPS II AE Poly G3 dry etcher 300 mm 31.05.2006 1 as is where is
103473 Applied Materials CENTURA DPS G3 Poly 1ch / Mesa 1ch 300 mm 31.05.2007 1 as is where is
108337 Applied Materials Centris AdvantEdge G5 Mesa T2 Poly Polysilicon Etch with qty 6 MESA 2 chambers 300 mm 1 as is where is immediately
109361 Applied Materials P-5000 POLY RF GENERATOR Spares 1 as is where is
110897 Applied Materials 0015-77174 Brush wafer roller motor pulley - CMP Cleaner Spares 5 inquire
100914 Applied Materials DPS II AE Poly G3 dry etcher 300 mm 31.05.2007 1 as is where is
103474 Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch 300 mm 31.05.2003 1 as is where is
109362 Applied Materials RF MATCH3 RF GENERATOR Spares 1 as is where is
110898 Applied Materials 0010-05398 zaytran assy dry and wet set - CMP Cleaner Spares 5 inquire
103475 Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch 300 mm 31.05.2005 1 as is where is
110899 Applied Materials 0010-77380 Lower Stopper Assembly - CMP Cleaner Spares 5 inquire
103476 Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch 300 mm 31.05.2010 1 as is where is
110900 Applied Materials 0010-77323 Brush gear assy - CMP Cleaner Spares 5 inquire
91189 Applied Materials P5000 CVD DxL 200 mm 1 as is where is
103477 Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch 300 mm 1 as is where is
110901 Applied Materials 0015-77287 Pulley Driver - CMP Cleaner Spares 5 inquire
91190 Applied Materials P5000 CVD DxL 200 mm 1 as is where is
103478 Applied Materials CENTURA DPS G5 MESA MESA 3ch / Axiom 1ch 300 mm 1 as is where is
110902 Applied Materials 0190-77502 Vessel, Pressure, 2-Chamber - CMP Cleaner Spares 5 inquire
110903 Applied Materials 0190-77397 Chem Vessel, 2.4 Liter - CMP Cleaner Spares 5 inquire
91192 Applied Materials P5000 Mark-II CVD TEOS 200 mm 1 as is where is
109112 Applied Materials Verity 2 CD MEASUREMENT SEM 200 mm 01.06.2004 1 immediately
110904 Applied Materials 0190-77393 Bleed Valve Manifold - CMP Cleaner Spares 5 inquire
91193 Applied Materials P5000 Mark-II CVD TEOS 150 mm 1 as is where is
108345 Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch 300 mm 7 as is where is
110905 Applied Materials 3220-01136 Coupling shaft, Walking beam Y-axis Motor - CMP Cleaner Spares 5 inquire
83514 Applied Materials Opal 7830i Enhanced CD MEASUREMENT SEM FOR 200 mm / 150 mm wafers 100 mm to 200 mm 01.05.1997 1 as is where is immediately
91194 Applied Materials P5000 Mark-II CVD DxL 200 mm 1 as is where is
103482 Applied Materials DPS SILVIA Silvia 2ch / Axiom 1ch 300 mm 1 as is where is
110906 Applied Materials 1010-01437 Quartz Infrared Halogen Lamp - CMP Cleaner Spares 5 inquire
11579 Applied Materials 9200 (Spares for) IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT 200 mm 01.06.1999 1 inquire immediately
91195 Applied Materials P5000 Mark-II CVD TEOS 200 mm 1 as is where is
103483 Applied Materials DPS2 Poly Etcher 300 mm 1 as is where is
108347 Applied Materials Centura AP AdvantEdge G5 Poly Polysilicon Etch 300 mm 2 as is where is
110907 Applied Materials 0190-36511 Devicenet I/O Block Specification - CMP Cleaner Spares 5 inquire
91196 Applied Materials P5000 Mark-II CVD+Etch Sputter 200 mm 1 as is where is
103484 Applied Materials DPS2 Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
108348 Applied Materials Centura AP ASP II - Chamber Only Metal Etch 300 mm 1 as is where is
110908 Applied Materials 0870-01038 200V 100W SGDA - CMP Cleaner Spares 5 inquire
103485 Applied Materials DPS2 AE Poly Etcher 300 mm 1 as is where is
108349 Applied Materials Centura AP DPS AdvantEdge G2 Metal Metal Etch 300 mm 3 as is where is
110909 Applied Materials 0870-01040 200V 200W SGDA - CMP Cleaner Spares 5 inquire
103486 Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
110910 Applied Materials 0870-01039 200V 400W SGDA - CMP Cleaner Spares 5 inquire
91199 Applied Materials P5000 Mark-II CVD+PVD TEOS 2Ch, SPUTTER 2Ch 200 mm 01.05.1997 1 as is where is
103487 Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
107327 Applied Materials Vectra Match RF Match Spares 1 inquire immediately
110911 Applied Materials 1140-01353 Power Supply, DC 24V 4A 100W 115/230VAC SDN 4-24-100 (SL4) - CMP Cleaner Spares 5 inquire
103488 Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
110912 Applied Materials 1140-01367 Power Supply, DC 24V 5A 120W 115/230VAC SDN 5-24-100 (SL5) - CMP Cleaner Spares 5 inquire
91201 Applied Materials P5000 Mark-II CVD+Etch TEOS 2Ch, Sputter 2Ch 200 mm 01.05.2000 1 as is where is
103489 Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
110913 Applied Materials 1140-01368 Power Supply, DC 24V 10A 240W 115/230VAC SDN 10-24-100 (SL10) - CMP Cleaner Spares 5 inquire
103490 Applied Materials DPS2 AE Poly Mesa 3ch / Axiom 1ch 300 mm 1 as is where is
110914 Applied Materials 0010-77682 Megasonics Lower Electronics - CMP Cleaner Spares 5 inquire
103491 Applied Materials DPS2 AE MESA (Dry Etch) 300 mm 1 as is where is
110915 Applied Materials 0010-77680 SRD Lower Electronics - CMP Cleaner Spares 5 inquire
91204 Applied Materials P5000 WCVD WxL 200 mm 1 as is where is
103492 Applied Materials DPS2 AE MINOS (Dry Etch) 300 mm 31.05.2005 1 as is where is
108356 Applied Materials Endura II Chamber: CIP W PVD PVD (Physical Vapor Deposition) 300 mm 1 as is where is
110916 Applied Materials 0010-77681 System Lower Electronics - CMP Cleaner Spares 5 inquire
91205 Applied Materials P5000 WCVD WxL 200 mm 1 as is where is
103493 Applied Materials DPS2 AE MINOS (Dry Etch) 300 mm 31.05.2006 1 as is where is
108357 Applied Materials Endura II Front-End Metallization PVD (Physical Vapor Deposition) 300 mm 3 as is where is
110661 APPLIED MATERIALS UVISION 5 Bright Field Inspection 300 mm 01.06.2011 1 as is where is immediately
110917 Applied Materials 0190-06673 Fabs Robot Assy - CMP FABS Spares 5 inquire
103494 Applied Materials DPS2 AE MESA (Dry Etch) 300 mm 31.05.2019 1 as is where is
110918 Applied Materials 3350-50000 Fabs Robot Ceramic Blade - CMP FABS Spares 5 inquire
103495 Applied Materials DPS2 AE MESA (Dry Etch) 300 mm 31.05.2019 1 as is where is
108359 Applied Materials Oasis Clean Batch Wafer Processing 300 mm 3 as is where is
110919 Applied Materials Mirra Mesa (Spare parts) RORZE RD-023MS motor driver - CMP FABS Spares 5 inquire
91208 Applied Materials Producer GT Chamber (A) SICONI Chamber only 300 mm 1 as is where is
103496 Applied Materials DPS2 AE MESA (Dry Etch) 300 mm 31.05.2019 1 as is where is
108360 Applied Materials Producer Etch eXT Dielectric Dielectric Etch 300 mm 3 as is where is
110920 Applied Materials Mirra Mesa (Spare parts) RC233 Generate master - CMP FABS Spares 5 inquire
91209 Applied Materials Producer GT Chamber (B) SICONI Chamber only 300 mm 1 as is where is
110921 Applied Materials Mirra Mesa (Spare parts) RC204A I/O master - CMP FABS Spares 5 inquire
110922 Applied Materials Mirra Mesa (Spare parts) RD-026MSA Step driver - CMP FABS Spares 5 inquire
103499 Applied Materials ENDURA 2 CH-1_TTN/CH-2_AL/CH-3_TTN/ CH-C&D_PCXT/CH-E&F_Degas 300 mm 31.05.2017 1 as is where is
110923 Applied Materials Mirra Mesa (Spare parts) SANYO DENKI, Stepping Motor, Substitute loadport lifter motor - CMP FABS Spares 5 inquire
103500 Applied Materials ENDURA 2 CH Preclean XT Chamber 300 mm 31.05.2001 1 as is where is
110924 Applied Materials 0100-13016 Assembly, PCB, Controller I/O Backplane PCB for MIRRA Controller - CMP controller Spares 5 inquire
103501 Applied Materials ENDURA 2 CH Preclean XT Chamber 300 mm 31.05.2008 1 as is where is
109133 Applied Materials AERA 4 Reticle Inspection RETICLE 1 as is where is
110925 Applied Materials ModelUDK5128NA Head Sweep Driver - CMP controller Spares 5 inquire
103502 Applied Materials ENDURA 2 CH Preclean XT Chamber 300 mm 1 as is where is
109134 Applied Materials Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition) 200mm 1 as is where is
110926 Applied Materials 1110-01058 Port Server -Digi EL16 with PS - CMP controller Spares 5 inquire
103503 Applied Materials ENDURA 2 CHAMBER ONLY Remote Plasma Clean Chamber 300 mm 31.05.2004 1 as is where is
106575 Applied Materials Centura AP Ultima Chamber HDPCVD Chamber only 300 mm 1 as is where is
109135 Applied Materials Centura 5200 Ti/TiN MCVD Metal CVD (Chemical Vapor Deposition) 200mm 1 as is where is
110927 Applied Materials 0660-01820 Applied Material MEI Board - CMP controller Spares 5 inquire
103504 Applied Materials ENDURA 2 CHAMBER ONLY Remote Plasma Clean Chamber 300 mm 31.05.2006 1 as is where is
106576 Applied Materials CENTURA DPS G3 Poly 2ch / Mesa 1ch 300 mm 01.06.2001 1 as is where is
109136 Applied Materials Centura AP AdvantEdge G5 Mesa Poly Polysilicon Etch 300mm 1 as is where is
110928 Applied Materials 0100-09054 Applied Material AIO Board - CMP controller Spares 5 inquire
103505 Applied Materials ENDURA 2 CHAMBER ONLY Remote Plasma Clean Chamber 300 mm 31.05.2010 1 as is where is
106065 Applied Materials Mirra ® 3400 Stand-Alone CMP System 200 mm 01.06.2001 1 as is all rebuilt immediately
106577 Applied Materials CENTURA DPS G3 Poly 3ch 300 mm 01.06.2006 1 as is where is
109137 Applied Materials Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch 300mm 1 as is where is
110929 Applied Materials 0100-20003 DIO board - CMP controller Spares 5 inquire
103506 Applied Materials ENDURA CL PVD 300 mm 31.05.2010 1 as is where is
106578 Applied Materials CENTURA DPS G3 Poly 3ch 300 mm 01.06.2006 1 as is where is
109138 Applied Materials Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch 300mm 1 as is where is
110930 Applied Materials 0100-77035 Robot X Track board - CMP controller Spares 5 inquire
106579 Applied Materials CENTURA DPS G3 Poly 3ch / Axiom 1ch 300 mm 01.06.2013 1 as is where is
109139 Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch 300mm 1 as is where is
110931 Applied Materials 0190-14372 Pad Cond Driver SGDA-01AS - CMP controller Spares 5 inquire
103508 Applied Materials PRODUCER GT Ht ACL 3ch / Server OS Type 300 mm 31.05.2014 1 as is where is
106580 Applied Materials CENTURA DPS G3 Poly 3ch / Axiom 1ch 300 mm 01.06.2010 1 as is where is
109140 Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch 300mm 1 as is where is
110932 Applied Materials SGDB-44ADG Platen Driver - CMP controller Spares 5 inquire
103509 Applied Materials PRODUCER GT BD2_CU 300 mm 31.05.2002 1 as is where is
106581 Applied Materials CENTURA DPS G5 MESA Poly 3ch / AXIOM 1ch / Server OS Type 300 mm 01.06.2011 1 as is where is
109141 Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch 300mm 1 as is where is
110933 Applied Materials SGDA-04AS Cross driver - CMP controller Spares 5 inquire
103510 Applied Materials PRODUCER SE CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type 300 mm 31.05.2003 1 as is where is
106582 Applied Materials CENTURA MCVD WxZ Optima 200 mm 01.06.2001 1 as is where is
109142 Applied Materials Centura AP AdvantEdge G5 Metal Metal Etch 300mm 1 as is where is
110934 Applied Materials SGDA-02AS Robot x driver - CMP controller Spares 5 inquire
103511 Applied Materials PRODUCER SE Ht_SiN 2ch / Server OS Type 300 mm 1 as is where is
106583 Applied Materials CENTURA 5200 DPS Poly Etcher 200 mm 01.06.1999 1 as is where is
109143 Applied Materials Centura AP AdvantEdge G5 Poly Polysilicon Etch 300mm 1 as is where is
110935 Applied Materials 0870-01080 Vexta Wet Robot Driver - CMP controller Spares 5 inquire
103512 Applied Materials RAIDER ECD Copper Electroplating System 300 mm 31.05.2005 1 as is where is
106584 Applied Materials ENDURA 2 (Gray Rack) 3CH (WxZ) 300 mm 01.06.2007 1 as is where is
109144 Applied Materials Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm 1 as is where is
110936 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 10 Amp - CMP controller Spares 5 inquire
103513 Applied Materials RAIDER ECD Copper Electroplating System 300 mm 01.05.2006 1 as is where is
106585 Applied Materials ENDURA 2 Chamber Only ALPS 300 mm 1 as is where is
109145 Applied Materials Centura AP DPS AdvantEdge G2 Metal Metal Etch 300mm 1 as is where is
110937 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 1 Amp - CMP controller Spares 5 inquire
106586 Applied Materials ENDURA 2 Chamber Only MOALD (IMP TiN) 300 mm 1 as is where is
109146 Applied Materials Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch 300mm 1 as is where is
110938 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 4 Amp - CMP controller Spares 5 inquire
103515 Applied Materials Vantage RTP cluster tool with Server OS Type 300 mm 01.12.2014 1 as is where is immediately
106587 Applied Materials ENDURA 2 CHAMBER ONLY PCXT 300 mm 01.06.2019 1 as is where is
109147 Applied Materials Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch 300mm 1 as is where is
110939 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 2 Amp - CMP controller Spares 5 inquire
106588 Applied Materials ENDURA 2 Chamber Only PCXT 300 mm 01.06.2019 1 as is where is
109148 Applied Materials Centura AP DPS AdvantEdge G2 Poly Polysilicon Etch 300mm 1 as is where is
110684 Applied Materials Sting Dark Field Defect Inspection 300 mm 01.06.2009 1 as is where is immediately
110940 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 5 Amp - CMP controller Spares 5 inquire
106589 Applied Materials ENDURA 2 Chamber Only PCXT 300 mm 01.06.2018 1 as is where is
109149 Applied Materials ComPLUS MP Darkfield Inspection 200mm 1 as is where is
110941 Applied Materials Mirra Mesa (Spare parts) Pico Fuse Axial Lead 125V, 7 Amp - CMP controller Spares 5 inquire
106590 Applied Materials ENDURA 2 Chamber Only PCXT 300 mm 01.06.2018 1 as is where is
109150 Applied Materials ComPLUS MP Darkfield Inspection 200mm 1 as is where is
110942 Applied Materials 0190-03358 AMAT Power supply SP664 - CMP controller Spares 5 inquire
106591 Applied Materials ENDURA 2 Chamber Only PCXT 300 mm 01.06.2019 1 as is where is
109151 Applied Materials Endura II Chambers: Multiple PVD (Physical Vapor Deposition) 300mm 1 as is where is
110687 Applied Materials Aera 2 Photomask Inspection System 300 mm 01.06.2009 1 as is where is immediately
110943 Applied Materials 0110-77037 Controller Interlock Board 1 - CMP controller Spares 5 inquire
106592 Applied Materials ENDURA 2 CHAMBER ONLY RPC 300 mm 01.06.2007 1 as is where is
109152 Applied Materials Producer SE PECVD SILANE PECVD (Chemical Vapor Deposition) 300mm 1 as is where is
110944 Applied Materials 0110-77038 Controller Interlock Board 2 - CMP controller Spares 5 inquire
106593 Applied Materials ENDURA 2 CHAMBER ONLY SIP Ti 300 mm 1 as is where is
109153 Applied Materials Reflexion - Dielectric Dielectric CMP 300mm 1 as is where is
110945 Applied Materials Mirra Mesa (Spare parts) CPU 3 - CMP controller Spares 5 inquire
106594 Applied Materials ENDURA 2 CHAMBER ONLY SIP Ti 300 mm 1 as is where is
109154 Applied Materials Reflexion LK Oxide Dielectric CMP 300mm 1 as is where is
110946 Applied Materials Mirra Mesa (Spare parts) SEI Board - CMP controller Spares 5 inquire
106595 Applied Materials ENDURA 2 Chamber Only SIP Ti 300 mm 1 as is where is
110947 Applied Materials Mirra Mesa (Spare parts) SST dnet board - CMP controller Spares 5 inquire
106596 Applied Materials ENDURA 2 Chamber Only SIP Ti 300 mm 1 as is where is
110948 Applied Materials Mirra Mesa (Spare parts) interface board - CMP controller Spares 5 inquire
106597 Applied Materials ENDURA 2 Chamber Only SIP Ti 300 mm 1 as is where is
108133 Applied Materials CENTURA MCVD WxZ Optima 200 mm 01.06.2000 1 as is where is
110949 Applied Materials Mirra Mesa (Spare parts) wet robot power supply - CMP controller Spares 5 inquire
106598 Applied Materials ENDURA 2 Chamber Only SIP Ti 300 mm 1 as is where is
108134 Applied Materials ENDURA 2 CHAMBER Only EnCoRe1(Cu) Chamber only 300 mm 1 as is where is
110950 Applied Materials Mirra Mesa (Spare parts) light tower pcb - CMP controller Spares 5 inquire
106599 Applied Materials ENDURA 2 CHAMBER ONLY TXZ ALD chamber 300 mm 1 as is where is immediately
108135 Applied Materials ENDURA 2 CHAMBER Only EnCoRe1(Cu) Chamber only 300 mm 1 as is where is
110951 Applied Materials Mirra Mesa (Spare parts) SSD Harddisk - CMP controller Spares 5 inquire
93032 Applied Materials CENTRIS DPS MESA Dry Etch, Twin 3chamber 300 MM 01.05.2010 1 as is where is immediately
106600 Applied Materials ENDURA CL Chamber Only CVD AL(AXZ) 300 mm 01.06.2002 1 as is where is
108136 Applied Materials ENDURA 2 CHAMBER Only EnCoRe1(Ta) Chamber only 300 mm 1 as is where is
106601 Applied Materials ENDURA CL Chamber Only CVD AL(AXZ) 300 mm 01.06.2002 1 as is where is
108137 Applied Materials ENDURA 2 CHAMBER Only EnCoRe1(Ta) Chamber only 300 mm 1 as is where is
93034 Applied Materials CENTURA ENABLER E2 Oxide Etcher /server OS PC 300 MM 31.05.2007 1 as is where is
106602 Applied Materials ENDURA CL Chamber Only Degas Chamber only 300 mm 1 as is where is
108138 Applied Materials ENDURA 2 CHAMBER Only PCXT Chamber only 300 mm 01.06.2019 1 as is where is
93035 Applied Materials CENTURA ENABLER E5 Oxide Etcher /server OS PC 300 MM 31.05.2010 1 as is where is
106603 Applied Materials ENDURA CL Chamber Only Degas Chamber only 300 mm 1 as is where is
108139 Applied Materials ENDURA CL Not include XP Robot 300 mm 01.06.2002 1 as is where is
93036 Applied Materials P5000 Etch no chamber (PLIS type) 200 mm 1 as is where is
106604 Applied Materials ENDURA CL Chamber Only Degas Chamber only 300 mm 1 as is where is
108140 Applied Materials ENDURA CL 300 mm 1 as is where is
106605 Applied Materials ENDURA CL Chamber Only Degas Chamber only 300 mm 1 as is where is
108141 Applied Materials ENDURA CL Chamber Only ESIP TAN (No Target) Chamber only 300 mm 1 as is where is
110701 Applied Materials P5000 Poly Etcher 150 mm 01.06.1995 2 as is where is immediately
106606 Applied Materials ENDURA CL Chamber Only Degas Chamber only 300 mm 1 as is where is
108142 Applied Materials Olympia ALD 300 mm 1 as is where is
106607 Applied Materials ENDURA CL Chamber Only PRECLEAN 300 mm 1 as is where is
108143 Applied Materials P5000 Delta Dlh 3chCVD 150 mm 1 as is where is
106608 Applied Materials ENDURA CL Chamber Only PRECLEAN 300 mm 1 as is where is
108144 Applied Materials P5000 Mark II Metal ETCH 150 mm 1 as is where is
106609 Applied Materials ENDURA CL Chamber Only PRECLEAN 300 mm 1 as is where is
108145 Applied Materials P5000 Teos dlh 3ch CVD 150 mm 1 as is where is
106610 Applied Materials ENDURA CL Chamber Only RPC 300 mm 01.06.2002 1 as is where is
108146 Applied Materials Producer GT Chamber SICONI Chamber only 300 mm 01.06.2017 1 as is where is
106611 Applied Materials ENDURA CL Chamber Only RPC 300 mm 01.06.2002 1 as is where is
108147 Applied Materials PRODUCER SE Ht_SiN 2ch / Server OS Type 300 mm 01.06.2006 1 as is where is
70004 Applied Materials Baccini Misc parts For Baccini Solar Line - see attached list Spares 1 as is where is immediately
108148 Applied Materials Producer SE Chamber LLTO Chamber only 300 mm 01.06.2014 1 as is where is
106613 Applied Materials ENDURA2 CHAMBER ONLY PVD CHAMBER 300 mm 1 as is where is
108149 Applied Materials PRODUCER SE CHAMBER PECVD Silane Chamber Only 300 mm 1 as is where is
106614 Applied Materials ENDURA2 VOLTA CO CHAMBER QTY 2 SETS 300 mm 1 as is where is
108150 Applied Materials PRODUCER SE CHAMBER PECVD TEOS Chamber Only 300 mm 1 as is where is immediately
106615 Applied Materials G5-MESA DRY ETCH EFEM 300 MM 1 as is where is
106616 Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER 300 mm 1 as is where is
110712 Applied Materials Centura 5200 HTF Epitaxial 3 Chamber Atmospheric Epitaxial Deposition system 200 MM 01.06.1996 1 as is where is immediately
106617 Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER 300 mm 1 as is where is
110713 Applied Materials Centura 5200 HTF Epitaxial 3 Chamber Atmospheric Epitaxial Deposition system 200 MM 01.06.1999 1 as is where is immediately
106618 Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER 300 mm 1 as is where is
110714 Applied Materials P5000 3 Chamber Dry Etch 150 MM 01.06.1995 1 as is where is immediately
93051 Applied Materials P5000 WXL 150 mm 31.05.1994 1 as is where is
106619 Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER 300 mm 1 as is where is
110715 Applied Materials P5000 4 Chamber SiN CVD 150 MM 01.06.1993 1 as is where is immediately
106620 Applied Materials MESA CHAMBER ONLY DRY ETCH CHAMBER 300 mm 1 as is where is
110716 Applied Materials P5000 Mark 2 2 Chambers TEOS PECVD and 2 Chambers Dry Etch 150 MM 01.06.2000 1 as is where is immediately
93053 Applied Materials PRODUCER GT LLTO 300 mm 31.05.2014 1 as is where is
106621 Applied Materials P5000 Delta Teos 3ch, Sputter 1ch 150 mm 01.06.1996 1 as is where is
110717 Applied Materials P5000 3 Chambers PECVD TEOS 150 MM 01.06.1996 1 as is where is immediately
106622 Applied Materials P5000 Delta Teos 3ch, Sputter 1ch 200 mm 01.06.1998 1 as is where is
106623 Applied Materials P5000 DxL 2ch 200 mm 01.06.1996 1 as is where is
106624 Applied Materials PRODUCER GT ACL 1ch / Server X 300 mm 1 as is where is
106625 Applied Materials PRODUCER GT CH_A_FOX / CH_B_eHARP / Server OS Type 300 mm 01.06.2009 1 as is where is
106626 Applied Materials PRODUCER GT Ht_Acl 3ch / Server OS Type 300 mm 01.06.2006 1 as is where is
106627 Applied Materials PRODUCER GT Siconi 3ch 300 mm 01.06.2009 1 as is where is
108931 Applied Materials SEMVISION G5 MAX Defect Review SEM 300 mm 01.06.2011 1 as is where is immediately
106628 Applied Materials Producer GT Chamber HARP 300 mm 1 as is where is
91269 Applied Materials CENTURA 5200 MxP Poly 200 mm 1 as is where is
106629 Applied Materials Producer GT Chamber HARP 300 mm 1 as is where is
106630 Applied Materials Producer GT Chamber SICONI Chamber only 300 mm 01.06.2017 1 as is where is
36487 APPLIED MATERIALS P-5000 DxL DxL, TEOS 150mm 1 inquire
106631 Applied Materials Producer GT Chamber SIH4 300 mm 1 as is where is
101768 Applied Materials 0010-00557 REV A Heat Exchanger FACILITIES 31.08.1988 1 as is where is immediately
106632 Applied Materials Producer GT Chamber only SICONI Chamber only 300 mm 01.06.2017 1 as is where is
106633 Applied Materials PRODUCER SE CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type 300 mm 01.06.2004 1 as is where is immediately
106634 Applied Materials PRODUCER SE Ht_SiN 2ch / Server OS Type 300 mm 01.06.2006 1 as is where is
106635 Applied Materials PRODUCER SE SILANE 2ch / Server OS Type 300 mm 01.06.2011 1 as is where is
106636 Applied Materials PRODUCER SE Teos 2ch / Server OS Type 300 mm 01.06.2006 1 as is where is
91277 Applied Materials Centura DPS II CHAMBER Chamber only 300 mm 1 as is where is
106637 Applied Materials ENDURA 2 Chamber Only (IMP Ti) 300 mm 1 as is where is
106638 Applied Materials ENDURA 2 Chamber Only EXTENSA Ti 300 mm 01.06.2008 1 as is where is
91279 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 31.05.2008 1 as is where is
91280 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 31.05.2008 1 as is where is
91281 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 31.05.2008 1 as is where is
91282 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 31.05.2008 1 as is where is
91283 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 31.05.2008 1 as is where is
91284 Applied Materials Etch dry chamber Only AXIOM, DPS 300 mm 1 as is where is
91285 Applied Materials Etch dry chamber Only AXIOM, DPS 300 mm 1 as is where is
91286 Applied Materials P5000 DELTA DLH 150 mm 1 as is where is
91287 Applied Materials P5000 DELTA DLH 150 mm 31.05.1993 1 as is where is
18840 APPLIED MATERIALS 0230-00101 Precision etch 8300 1 as is where is
18841 APPLIED MATERIALS 0230-09130 Precision 5000 Mark II spare part identified 1 as is where is
105881 Applied Materials Reflexion GT CMP system with integrated cleaner 300 MM 01.05.2011 1 as is where is immediately
18842 APPLIED MATERIALS 0230-09259 Precision 5000 Mark II Mainframe and support equipment manual 1 as is where is
18843 APPLIED MATERIALS 079-1202-0D PR 5000 Advanced prev. And corrective main 1 as is where is
108699 Applied Materials Oasis HF Wafer cleaning system 300 MM 01.06.2006 1 as is where is immediately
4252 Applied Materials 0230-09130 P5000 SPARE PARTS IDENTIFIER Spares 01.01.1992 1 as is where is
18844 APPLIED MATERIALS 026-110-0B1 PR 5000 Func desc. Practice exercici and basic prev. maintenance procedures 1 as is where is
108701 Applied Materials Centura 5200 High K CVD Process Chamber CVD Process Chamber 200 mm 1 as is where is immediately
108705 Applied Materials Centura 5200 MxP Chamber MxP Etching Chamber 200 mm 1 inquire immediately
110767 Applied Materials Mirra 3400 Ontrak CMP Polisher with Cleaning System 200 mm 01.05.1997 1 as is where is immediately
91316 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 1 as is where is
93108 Applied Materials PRODUCER GT UV Bake Photostabilizer 300 mm 31.05.2017 1 as is where is
91317 Applied Materials CENTURA ENABLER Oxide Etcher /server OS PC 300 mm 1 as is where is
93109 Applied Materials PRODUCER GT UV Bake Photostabilizer 300 mm 31.05.2017 1 as is where is
106944 Applied Materials Endura 5500 (spare parts) Endura 6" process kit for Degas Chamber, NEW, in AMAT box , including 0020-28140 and other parts 150 mm 01.11.2001 1 as is where is immediately
111054 Applied Materials 0040-55456 BELLJAR, 21 OD 300MM PC XT/XTE - PC-XT Spares 5 inquire
111055 Applied Materials 0200-01903 INSULATOR PEDESTAL QUARTZ 300MM PCII - PC-XT Spares 5 inquire
111056 Applied Materials 0020-19258 PEDESTAL TITANIUM 300MM PCII - PC-XT Spares 5 inquire
111057 Applied Materials 0021-19342 SHIELD INNER 300MM PCII/RPC+ - PC-XT Spares 5 inquire
91602 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
110802 Applied Materials Endura IMP Ti / TiN Physical Vapor Deposition System 200 mm 01.06.2000 1 as is where is immediately
111058 Applied Materials 0040-86514 SHIELD, LOWER 300MM PCXT/RPC+ - PC-XT Spares 5 inquire
91603 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
111059 Applied Materials 0040-07291 DEPOSITION RING ADV 101 300MM - DSTTN Spares 5 inquire
91604 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
111060 Applied Materials 0021-26609 SHUTTER DISK, A101, TTN, CENTER PIN, BLUE, 300MM - DSTTN Spares 5 inquire
91605 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
111061 Applied Materials 0021-22064 COVER RING, TTN, 300MM PVD - DSTTN Spares 5 inquire
91606 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
111062 Applied Materials 0021-22065 SHIELD, LOWER HI-COND TTN, 300MM PVD - DSTTN Spares 5 inquire
91607 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
110807 Applied Materials 0240-31390 HCLU Load Cup Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111063 Applied Materials 0021-21234 SHIELD, UPPER HI-COND TIN LONG, 300MM PVD - DSTTN Spares 5 inquire
91608 Applied Materials ENDURA CL Chamber Only AxZ 300 mm 1 as is where is
98264 Applied Materials VANTAGE (Rediance 3.x) RTP 300 mm 31.05.2003 1 as is where is
110808 Applied Materials 0010-77153 Wet Robot Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111064 Applied Materials 0021-26565 SHUTTER DISK - Aluminum Spares 5 inquire
110809 Applied Materials 1080-01170 Platen Motor Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111065 Applied Materials 0021-45259 SHIELD, 1-PIECE, BEADBLAST, SST, STD PVD - Aluminum Spares 5 inquire
110810 Applied Materials 0190-14344 NSK Motor/driver Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111066 Applied Materials 0021-84173 COVER RING - Aluminum Spares 5 inquire
106203 Applied Materials Mirra 3400 Stand-Alone Oxide/STI CMP 200 mm 01.06.1998 1 inquire
110811 Applied Materials Mirra Mesa (Spare Parts) Cable from polisher to controller - CMP Polisher and Lower Mirra Spares 5 inquire
111067 Applied Materials 0200-07492 DEPOSITION RING - Aluminum Spares 5 inquire
110812 Applied Materials Mirra Mesa (Spare Parts) Head Spindle - CMP Polisher and Lower Mirra Spares 5 inquire
110813 Applied Materials 0040-77041 Mirra teflon Coated upper polish platen - CMP Polisher and Lower Mirra Spares 5 inquire
108254 Applied Materials P5000 Mark 2 CVD system with 4 x DXZ chambers, Silane process 200 mm 01.06.2001 1 as is where is immediately
109534 Applied Materials 990-004763-015 Pad Con Analog Pneumatic Unit Spares 1 as is where is immediately
110814 Applied Materials 3080-01119 Platen Motor Belt - CMP Polisher and Lower Mirra Spares 5 inquire
106207 Applied Materials 0240-20611 REV E KIT SLIT VALVE PER CHAMBER REV E Spares 01.06.1999 1 as is where is immediately
109535 Applied Materials 990-004763-015 (Upgrade) Upgrade for Pad Con Analog Pneumatic Assembly Spares 1 as is where is immediately
110815 Applied Materials 0190-17083 Platen Polish Bearing - CMP Polisher and Lower Mirra Spares 5 inquire
91616 Applied Materials ENDURA CL Chamber Only AL 300 mm 1 as is where is
106208 Applied Materials 0040-13659 REV P1 BLOCK, MTG Spares 01.06.1999 1 as is where is immediately
110816 Applied Materials 3970-00030 Platen Gear Box/ Head - CMP Polisher and Lower Mirra Spares 5 inquire
91617 Applied Materials ENDURA CL Chamber Only AL 300 mm 1 as is where is
106209 Applied Materials 0020-21089 SHIELD Spares 01.06.1999 1 as is where is immediately
110817 Applied Materials 0190-77114 Yaskawa Cross Servo Motor Model: SGM-04UWB4L - CMP Polisher and Lower Mirra Spares 5 inquire
91618 Applied Materials ENDURA CL Chamber Only Chamber 1 (Ver. 001) CPI-VMO 300 mm 1 as is where is
106210 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1998 1 as is where is immediately
110818 Applied Materials 0010-12344 Pad cond Assembly - CMP Polisher and Lower Mirra Spares 5 inquire
106211 Applied Materials 0050-20072 REV B GAS LINE CHAMBER 3 HEATER MFC 1 VCR Spares 01.06.1999 1 as is where is immediately
110819 Applied Materials 0190-77016 Pad cond sweep motor (Yaskawa SGM-01U3B4L) - CMP Polisher and Lower Mirra Spares 5 inquire
91620 Applied Materials ENDURA CL Chamber Only SOURCE D (Ver. 003) CPI-VMO 300 mm 1 as is where is
106212 Applied Materials 0050-76652 REV A GAS LINE CHAMBER 2 PROCESS MFC 19 MIXED Spares 01.06.1999 1 as is where is immediately
106980 Applied Materials Reflexion CMP system 300 mm 1 inquire immediately
110820 Applied Materials 0190-77015 Pad cond rotation motor (Yaskawa SGM-01U3B4CL) - CMP Polisher and Lower Mirra Spares 5 inquire
106213 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1999 2 as is where is immediately
106981 Applied Materials Reflexion LK CMP system 300 mm 1 inquire immediately
109541 Applied Materials Centura AP DPS 2 G5 Polysilicon etcher, 3 chamber 300 mm 01.08.2008 1 as is where is immediately
110821 Applied Materials 0010-77721 Wet Robot Blade - CMP Polisher and Lower Mirra Spares 5 inquire
106214 Applied Materials 0090-20042 REV D ASSY 3 WAY VALVE Spares 01.06.1999 5 as is where is immediately
106982 Applied Materials Mesa CMP cleaning system 300 mm 1 inquire immediately
109542 Applied Materials Centura DPS II Advantedge POLY POLY ETCHER, 4 CHAMBER 300 mm 01.06.2010 1 as is where is immediately
110822 Applied Materials 0020-78177 Wet Robot blade gasket - CMP Polisher and Lower Mirra Spares 5 inquire
91623 Applied Materials ENDURA CL Chamber Only Chamber D (Ver. 001) CPI-VMO(eSIP TAN) 300 mm 1 as is where is
94439 Applied Materials Centura WCVD WxZ Optima 200 mm 1 as is where is
106215 Applied Materials 0020-20483 REV E HUB LAMP CORNER COVER Spares 01.06.1998 2 as is where is immediately
106983 Applied Materials Desica CMP Cleaning system 300 mm 1 inquire immediately
109543 Applied Materials Centura AP DPS 2 Advantedge Mesa Polysilicon Etcher with 4 chambers 300 mm 01.08.2006 1 as is where is immediately
110823 Applied Materials 0190-16236 Wet robot -Wrist Motor - CMP Polisher and Lower Mirra Spares 5 inquire
106216 Applied Materials 0140-20502 REV D HARN ASSY AC 2-PHASE DRIVER Spares 01.06.1999 1 as is where is immediately
106984 Applied Materials Kawasaki 4.0 Fab Interface Module 300 mm 1 inquire immediately
109544 Applied Materials Centura 5200 AP DPS2 Advantedge Carina Mesa Dry Etch Cluster Tool - 2 CHAMBER - METAL ETCH PROCESS 300 mm 01.05.2013 1 as is where is immediately
110824 Applied Materials 0190-16235 Wet robot - Shoulder Motor - CMP Polisher and Lower Mirra Spares 5 inquire
106217 Applied Materials 0020-20523 COVER Spares 01.06.1999 1 as is where is immediately
109545 Applied Materials Centura AP DPS II Advantedge Polysilicon Etcher with 4 chambers 300 mm 01.06.2006 1 as is where is immediately
110825 Applied Materials 0190-17944 Wet robot -Z-Axis (Up and Down Motion) Motor Assembly - CMP Polisher and Lower Mirra Spares 5 inquire
106218 Applied Materials 0240-70416 REV C KIT BLOW OUT VALVE PER CHAMBER Spares 01.06.1999 1 as is where is immediately
110826 Applied Materials 0100-77069 ISRM Module - Full Scan (77069) - CMP Polisher and Lower Mirra Spares 5 inquire
106219 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1999 1 as is where is immediately
110827 Applied Materials 0100-00845 laser diode mdl 390a-670-5 - CMP Polisher and Lower Mirra Spares 5 inquire
94444 Applied Materials ENDURA CL Chamber only AxZ 300 mm 31.05.2017 1 as is where is
106220 Applied Materials 0190-20015 REV D VALVE / MANIFOLD Spares 01.06.1998 1 as is where is immediately
110828 Applied Materials 0100-77064 ISRM Module - Legacy (77064) - CMP Polisher and Lower Mirra Spares 5 inquire
106221 Applied Materials 3830-01018 REV LABEL LASERTAB MARKER WHT POLYEST LAM Spares 01.06.1999 2 as is where is immediately
109549 Applied Materials Centura DPS2 AE Minos Poly Dry Etch with 2CH DPS2 and 2 CH Axiom 300 mm 01.06.2006 1 as is where is immediately
110829 Applied Materials 0090-77110 ISRM Cable (to slip ring) - CMP Polisher and Lower Mirra Spares 5 inquire
106222 Applied Materials 3830-01034 REV LABEL LASERTAB MARKER WHT POLYEST LAM Spares 01.06.1999 1 as is where is immediately
110830 Applied Materials 0720-02672 Slip Ring (Conn 6cond Rotating feed through) - CMP Polisher and Lower Mirra Spares 5 inquire
106223 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1998 1 as is where is immediately
110831 Applied Materials 990-004763-015 Pad Cond Analog Board - CMP Polisher and Lower Mirra Spares 5 inquire
106224 Applied Materials 3870-01281 REV VALVE BLANK PLATE ASSY FOR SMC P/N NVJ11 Spares 01.06.1999 3 as is where is immediately
110832 Applied Materials 0100-77017 Lower Pneumatic Board - CMP Polisher and Lower Mirra Spares 5 inquire
106225 Applied Materials 3870-01284 VALVE BLANK PLATE ASSY FOR SMC P/N NVJ3023 Spares 01.06.1999 1 as is where is immediately
110833 Applied Materials 990-004765-107 Digital Pneutronics Board (Normally Open) - CMP Polisher and Lower Mirra Spares 5 inquire
106226 Applied Materials 0090-20042 REV D ASSY 3 WAY VALVE Spares 01.06.1999 1 as is where is immediately
110834 Applied Materials 990-004765-104 Digital Pneutronics Board (Normally Close) - CMP Polisher and Lower Mirra Spares 5 inquire
106227 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1999 1 as is where is immediately
110835 Applied Materials MFC-8005-T2105-072-N-001 Chemical Malema Pump - CMP Polisher and Lower Mirra Spares 5 inquire
106228 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1998 1 as is where is immediately
110836 Applied Materials MFC-8000-T2104-052-P-001 Slurry Malema Pump - CMP Polisher and Lower Mirra Spares 5 inquire
110837 Applied Materials UPM2-646NC Furon Valve UPM2-646NC - CMP Polisher and Lower Mirra Spares 5 inquire
110838 Applied Materials UPM3-644 Furon Valve UPM3-644 - CMP Polisher and Lower Mirra Spares 5 inquire
108023 Applied Materials P5000 CVD 200 mm 01.11.1990 1 as is where is
110839 Applied Materials UPM2-644NC Furon Valve UPM2-644NC - CMP Polisher and Lower Mirra Spares 5 inquire
110841 Applied Materials 0140-08725 P2 cable Harness, E-chain AIO head, 200mm - CMP HEAD Spares 5 inquire
110842 Applied Materials 0140-77637 P1 cable C/A Digital I/O head pneumatic - CMP HEAD Spares 5 inquire
111354 Applied Materials Centura 5200 XE+ RTP system with TPCC 200 mm 1 as is where is immediately
71931 Applied Materials 0050-76664 REV A GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE spares 01.06.1999 1 as is where is immediately
110843 Applied Materials 0140-77632 Head Sweep Cable - CMP HEAD Spares 5 inquire
111355 Applied Materials Centura 5200 XE+ RTP system with TPCC 200 mm 01.06.2003 1 as is where is immediately
71932 Applied Materials 0020-20919 REV C COVER CVD POST spares 31.05.1999 1 as is where is immediately
110844 Applied Materials 0190-77482 Head Sweep Motor assy - CMP HEAD Spares 5 inquire
71933 Applied Materials 0150-21344 CHAMBER D INTERCONNECT EMC COMPLIANT spares 31.05.1999 1 as is where is immediately
110845 Applied Materials 0760-01034 3-port, 4-port Rotary Union (0760-01034) - CMP HEAD Spares 5 inquire
110846 Applied Materials 3870-02674 Burkert Valve - CMP HEAD Spares 5 inquire
110847 Applied Materials IT1001-N31-X6 SMC UPA Regulator (X6) - CMP HEAD Spares 5 inquire
110617 Applied Materials ® 0190-33289 RTP CHAMBER LAMP spares 183 as is where is immediately
105851 Applied Materials ® 079-018-05 P5000 Mk II Functional description Practice exercises Spares 30.04.1995 1 as is where is immediately
105852 Applied Materials ® 0230-09258B P5000 Mk II Mainframe and Support Equipment Manual Spares 30.06.1994 1 as is where is immediately
105853 Applied Materials ® 0230-00103 Precision Etch 8300 Corrective Maintenance Rev 3 Spares 30.04.1990 2 as is where is immediately
105854 Applied Materials ® 0230-20005 Endura Operations and Programming Training Course Student Workbook Spares 31.08.1993 1 as is where is immediately
105858 Applied Materials ® 0021-35163 Rev A Gold-plated RTP Reflector Plate, 200 MM, chamber bottom 200 mm 31.05.1997 1 as is where is immediately
6536 Applied Materials ® 0230-09258 B P5000 Mk II Mainfame support and equipment manual cleanroom July 1994 Spares 01.07.1994 1 as is where is immediately
6537 Applied Materials ® 026-105-03 C P5000 Mk II Functional description training manual Spares 01.04.1995 1 as is where is immediately
6538 Applied Materials ® 079-109-0D P5000 Mk II Advanced calibration proceedures manual Jan 1995 Spares 01.01.1995 1 as is where is immediately
6539 Applied Materials ® 079-102-0D P5000 Mk II Advanced preventive and corrective maintenance Apr 1996 Spares 01.04.1996 1 as is where is immediately
6540 Applied Materials ® 026-110-0B.1 P5000 Mk II Functional description , practice exercises and basic maintenance proceedures Spares 01.03.1996 1 as is where is immediately
106855 Gigaphoton A700GK-1 248 nm (KrF) excimer laser Facilities 01.10.2007 1 as is where is immediately
111342 Gigaphoton G21K2-1 KrF excimer laser 248 nm Facilities 01.11.2003 1 as is where is immediately
84026 Maple Systems HMI530TV45 Touch Screen spares 01.01.2006 1 as is where is immediately
84072 Maple Systems HMI530TV45 Touch Screen spares 01.01.2006 1 as is where is immediately
110789 Mentor Graphics Veloce 2 Quattro Hardware Emulation System 1 as is where is immediately
108847 MICRONICS JAPAN CO. MP-10 Manual Probe Station with B&L StereoZoom 7 Microscope & 2ea Micropositioners 1 inquire


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry