The following are the items available for sale related to C at SDI fabsurplus.com. To inquire about the C equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of C items.
SDI ID | Manufacturer | Model | Description | Version | Vintage | Q. ty | Sales Conditions | Lead Time |
---|---|---|---|---|---|---|---|---|
110804 | 12 Inch | Complete | R and D Test Laboratory for 8 and 12 inch wafers | 300 mm | 01.06.2005 | 1 | as is where is | immediately |
111349 | 12 inch | PARTIAL | Wafer Fab Line, including 300 mm equipment from ASML, AMAT, TEL, Canon, Nikon and KLA etc. | 300 mm | 01.06.2010 | 94 | as is where is | immediately |
106039 | 6 inch | Complete | MEMS Production Facility for Sale | 4 inch and 6 inch | 01.06.2005 | 1 | as is where is | immediately |
109082 | 8 inch | Complete | Wafer fab Line for sale | 200 mm | 01.06.1998 | 470 | as is where is | immediately |
110771 | 8 inch | Complete | Wafer fab Line | 200 mm | 01.06.2000 | 1 | inquire | immediately |
108645 | 8 inch / 6 inch | Partial | Bumping Wafer Fab Line | 150 mm / 200 mm | 01.06.2005 | 79 | as is where is | immediately |
110708 | Accretech | PG200RM | Fully Automatic Wafer Back Grinder | 200 MM | 01.06.2004 | 1 | as is where is | immediately |
110709 | Accretech | UF200A | Prober | 200 MM | 01.06.2001 | 1 | as is where is | immediately |
110710 | Accretech | UF200A | Prober | 200 MM | 01.12.2000 | 1 | as is where is | immediately |
109540 | Accretech | UF3000 | Prober | 300 mm | 01.05.2005 | 9 | as is where is | immediately |
111360 | Accretech / TSK | UF3000EX | 01.01.2022 | 1 | as is where is | immediately | ||
109571 | Accretech / TSK | UF3000 | Fully Automated Prober | 300 mm | 01.05.2009 | 9 | as is where is | immediately |
111359 | Accretech / TSK | UF3000EX | Prober with HDD | 1 | as is where is | immediately | ||
95398 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
95399 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
95400 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
95401 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
95402 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
95403 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
54226 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 7 | as is where is | immediately |
108333 | Accretech/TSK | UF3000 | Production Wafer Prober | 300 mm | 7 | as is where is | ||
80264 | ACOPIAN | B24G210 | POWER SUPPLY | spares | 1 | as is where is | immediately | |
109289 | AD-TEC | AMV-1000-AA | RF GENERATOR | Spares | 1 | as is where is | ||
109290 | AD-TEC | AMV-2000-AMT | RF GENERATOR | Spares | 4 | as is where is | ||
109291 | AD-TEC | AX-1000Ⅲ-27M-LC-GT | RF GENERATOR | Spares | 1 | as is where is | ||
109292 | AD-TEC | TR-3000-EI1-ET | RF GENERATOR | Spares | 1 | as is where is | ||
98706 | Adixen Alcatel | ADS 602H | Dry Vacuum pump combo | Pump | 01.05.2008 | 1 | as is where is | immediately |
109622 | Adtec | AMV Controller | Miscellaneous | SPARES | 1 | inquire | ||
109623 | Adtec | AMV Controller | Miscellaneous | SPARES | 1 | inquire | ||
109624 | Adtec | AX-100 MF | Generator | SPARES | 1 | inquire | ||
109625 | Adtec | AX-100 MF | Generator | SPARES | 1 | inquire | ||
109626 | Adtec | AX-100 MF | Generator | SPARES | 1 | inquire | ||
109627 | Adtec | AX-100 MF | Generator | SPARES | 1 | inquire | ||
109628 | Adtec | AX-3000 MF | Generator | SPARES | 1 | inquire | ||
109629 | Adtec | AX-3000 P | Generator | SPARES | 1 | inquire | ||
109312 | Advanced Energy | NAVIGATOR-1013 | RF GENERATOR | Spares | 1 | as is where is | ||
109313 | Advanced Energy | NAVIGATOR-1013 | RF GENERATOR | Spares | 1 | as is where is | ||
109314 | Advanced Energy | NAVIGATOR-1013 | RF GENERATOR | Spares | 2 | as is where is | ||
109315 | Advanced Energy | NAVIGATOR-1013 | RF GENERATOR | Spares | 9 | as is where is | ||
109316 | Advanced Energy | NAVIGATOR-2013 | RF GENERATOR | Spares | 1 | as is where is | ||
109317 | Advanced Energy | NAVIGATOR-2013 | RF GENERATOR | Spares | 1 | as is where is | ||
109318 | Advanced Energy | NAVIGATOR-2013 | RF GENERATOR | Spares | 1 | as is where is | ||
109319 | Advanced Energy | NAVIGATOR-3013 | RF GENERATOR | Spares | 2 | as is where is | ||
109320 | Advanced Energy | NAVIGATOR-3013 | RF GENERATOR | Spares | 8 | as is where is | ||
109321 | Advanced Energy | NAVIGATOR-3013 | RF GENERATOR | Spares | 1 | as is where is | ||
109322 | Advanced Energy | NAVIGATOR-3013 | RF GENERATOR | Spares | 1 | as is where is | ||
109323 | Advanced Energy | NAVIGATOR-3013 | RF GENERATOR | Spares | 1 | as is where is | ||
109324 | Advanced Energy | NAVIGATOR-3013 | RF GENERATOR | Spares | 2 | as is where is | ||
109325 | Advanced Energy | OVATION-35162 | RF GENERATOR | Spares | 1 | as is where is | ||
109326 | Advanced Energy | OVATION2560SF | RF GENERATOR | Spares | 1 | as is where is | ||
109327 | Advanced Energy | PARAMOUNT MF 3KW | RF GENERATOR | Spares | 1 | as is where is | ||
109328 | Advanced Energy | PARAMOUNT-6013 | RF GENERATOR | Spares | 1 | as is where is | ||
109329 | Advanced Energy | PARAMOUNT-6013 | RF GENERATOR | Spares | 2 | as is where is | ||
109330 | Advanced Energy | PARAMOUNT-6013 | RF GENERATOR | Spares | 1 | as is where is | ||
109331 | Advanced Energy | PDX-1250 | RF GENERATOR | Spares | 1 | as is where is | immediately | |
109333 | Advanced Energy | PDX-900-2V | RF GENERATOR | Spares | 7 | as is where is | immediately | |
109334 | Advanced Energy | PDX-900-2V | RF GENERATOR | Spares | 6 | as is where is | immediately | |
109846 | Advanced Energy | RFG 3000 | Generator | SPARES | 1 | as is all rebuilt | immediately | |
109335 | Advanced Energy | PDX-900-2V | RF GENERATOR | Spares | 3 | as is where is | immediately | |
109336 | Advanced Energy | PDX-900-2V | RF GENERATOR | Spares | 9 | as is where is | immediately | |
109337 | Advanced Energy | PDX-900-2V | RF GENERATOR | Spares | 1 | as is where is | immediately | |
109338 | Advanced Energy | PE-1000 | RF GENERATOR | Spares | 1 | as is where is | ||
109339 | Advanced Energy | PINACLE-20K | RF GENERATOR | Spares | 7 | as is where is | ||
109340 | Advanced Energy | PINACLE-20K | RF GENERATOR | Spares | 10 | as is where is | ||
109341 | Advanced Energy | PINACLE-20K | RF GENERATOR | Spares | 1 | as is where is | ||
109342 | Advanced Energy | RAPID-F | RF GENERATOR | Spares | 4 | as is where is | ||
109343 | Advanced Energy | RAPID-F | RF GENERATOR | Spares | 1 | as is where is | ||
109344 | Advanced Energy | RAPID-F | RF GENERATOR | Spares | 11 | as is where is | ||
109345 | Advanced Energy | RAPID-F | RF GENERATOR | Spares | 1 | as is where is | ||
109346 | Advanced Energy | RFG-3001 | RF GENERATOR | Spares | 1 | as is where is | ||
109347 | Advanced Energy | VM-5000 | RF GENERATOR | Spares | 1 | as is where is | ||
109348 | Advanced Energy | VM-5000 | RF GENERATOR | Spares | 1 | as is where is | ||
109349 | Advanced Energy | XSTREAM-8K | RF GENERATOR | Spares | 1 | as is where is | ||
109876 | Advanced Energy | RFX II 3000 | Generator | SPARES | 1 | as is all rebuilt | immediately | |
107064 | Advanced Energy | AZX 63 Controller HTCM | Miscellaneous | Spares | 1 | as is where is | immediately | |
107075 | Advanced Energy | Cesar 1312 | RF Generator | Spares | 1 | as is where is | immediately | |
109637 | Advanced Energy | Apex 1513 | Generator | SPARES | 1 | inquire | ||
109655 | Advanced Energy | AZX 10 | Match | SPARES | 1 | as is where is | immediately | |
110680 | Advanced Energy | Paramount 3013 | RF generators | 7 | as is all rebuilt | immediately | ||
107108 | Advanced Energy | Hilight 133 | RF Generator | Spares | 1 | as is where is | immediately | |
107111 | Advanced Energy | Integro 136 | RF Generator | Spares | 1 | as is all rebuilt | ||
107114 | Advanced Energy | LF-5 | RF Generator | Spares | 1 | as is where is | immediately | |
107168 | Advanced Energy | PDX 2500 | RF Generator | Spares | 1 | as is where is | immediately | |
107176 | Advanced Energy | Pinnacle 10k | RF Generator | Spares | 1 | as is where is | ||
108719 | ADVANCED ENERGY | MDX | Magnetron Drive p/n 2011-000-D | spares | 1 | as is where is | immediately | |
107209 | Advanced Energy | Pinnacle Plus 5k | RF Generator | Spares | 1 | as is where is | immediately | |
107241 | Advanced Energy | RFX 600 | RF Generator | Spares | 1 | as is where is | ||
109293 | Advanced Energy | APEX-1513 | RF GENERATOR | Spares | 23 | as is where is | ||
107246 | Advanced Energy | RFX 600A | RF Generator | Spares | 1 | as is all rebuilt | immediately | |
109294 | Advanced Energy | APEX-1513 | RF GENERATOR | Spares | 1 | as is where is | ||
109295 | Advanced Energy | APEX-1513 | RF GENERATOR | Spares | 1 | as is where is | ||
109296 | Advanced Energy | APEX-3013 | RF GENERATOR | Spares | 15 | as is where is | ||
109297 | Advanced Energy | APEX-3013 | RF GENERATOR | Spares | 2 | as is where is | ||
109298 | Advanced Energy | APEX-3013 | RF GENERATOR | Spares | 2 | as is where is | ||
109299 | Advanced Energy | APEX-3013 | RF GENERATOR | Spares | 1 | as is where is | ||
109300 | Advanced Energy | ATX-600 | RF GENERATOR | Spares | 1 | as is where is | ||
109301 | Advanced Energy | ATX-600 | RF GENERATOR | Spares | 1 | as is where is | ||
109302 | Advanced Energy | HFV-8000 | RF GENERATOR | Spares | 1 | as is where is | ||
109303 | Advanced Energy | ION SOURCE SUPPLY | RF GENERATOR | Spares | 1 | as is where is | ||
109304 | Advanced Energy | MDX-10K | RF GENERATOR | Spares | 1 | as is where is | ||
109305 | Advanced Energy | MDX-10K | RF GENERATOR | Spares | 2 | as is where is | ||
109306 | Advanced Energy | MDX-10K | RF GENERATOR | Spares | 1 | as is where is | ||
109307 | Advanced Energy | MDX-20K | RF GENERATOR | Spares | 1 | as is where is | ||
109308 | Advanced Energy | MDX-L12 | RF GENERATOR | Spares | 1 | as is where is | immediately | |
109309 | Advanced Energy | MDX-L12 | RF GENERATOR | Spares | 1 | as is where is | ||
109310 | Advanced Energy | MDX-L6 | RF GENERATOR | Spares | 1 | as is where is | immediately | |
109311 | Advanced Energy | NAVIGATOR-10013 | RF GENERATOR | Spares | 1 | as is where is | ||
77166 | Advantech | IPC-5475 | data acquisition card | Spares | 3 | as is where is | immediately | |
77167 | Advantech | HK-6323 | data acquisition card ISA | Spares | 2 | as is where is | immediately | |
77206 | Advantech | PCL-745B | data acquisition card 2-port RS422/485 Rev B1 | Spares | 1 | as is where is | immediately | |
77207 | Advantech | PCL-730 | data acquisition card 32ch TTL DIO | Spares | 1 | as is where is | immediately | |
74142 | Advantech | PCL-730 | 32-ch Isolated Digital I/O Card w/32ch TTL DIO | 31.12.2007 | 2 | as is where is | ||
74143 | Advantech | PCL-745B | 2-port RS-422/485 ISA COMM Card w/ isolation | 31.12.2007 | 2 | as is where is | ||
109824 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | ||
109825 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | ||
109826 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | ||
109827 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | ||
109828 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | ||
109829 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | ||
109830 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | ||
109831 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | ||
109832 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | ||
109833 | AE (Advanced Energy) | PMH13/3000 | Miscellaneous | SPARES | 1 | inquire | ||
109834 | AE (Advanced Energy) | PMH13/3000 | Miscellaneous | SPARES | 1 | inquire | ||
109835 | AE (Advanced Energy) | PMH13/3000 | Miscellaneous | SPARES | 1 | inquire | ||
109836 | AE (Advanced Energy) | Power Sensor | Miscellaneous | SPARES | 1 | inquire | ||
109837 | AE (Advanced Energy) | RF-20 | Generator | SPARES | 1 | inquire | ||
109838 | AE (Advanced Energy) | RF-30P | Generator | SPARES | 1 | inquire | ||
109839 | AE (Advanced Energy) | RF-30S | Generator | SPARES | 1 | inquire | ||
109840 | AE (Advanced Energy) | RF-30S | Generator | SPARES | 1 | inquire | ||
109841 | AE (Advanced Energy) | RF-5S | Generator | SPARES | 1 | inquire | ||
109842 | AE (Advanced Energy) | RF-5S | Generator | SPARES | 1 | inquire | ||
109843 | AE (Advanced Energy) | RF-5S | Generator | SPARES | 1 | inquire | ||
109844 | AE (Advanced Energy) | RF-5S | Generator | SPARES | 1 | inquire | ||
109847 | AE (Advanced Energy) | RFG 3002 | Generator | SPARES | 1 | inquire | ||
109848 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | ||
109849 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | ||
109850 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | ||
109851 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | ||
109852 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | ||
109853 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | ||
109854 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | ||
109855 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | ||
109856 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | ||
109857 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | ||
109858 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | ||
109859 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | ||
109860 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | ||
109861 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | ||
109862 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | ||
109863 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | ||
109864 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | ||
109865 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | ||
109866 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | ||
109867 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | ||
109868 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | ||
109869 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | ||
109870 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | ||
109871 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | ||
109872 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | ||
109873 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | ||
109874 | AE (Advanced Energy) | RFX II 1250 | Generator | SPARES | 1 | inquire | ||
109875 | AE (Advanced Energy) | RFX II 3000 | Generator | SPARES | 1 | inquire | ||
109877 | AE (Advanced Energy) | RFX II 3000 | Generator | SPARES | 1 | inquire | ||
109878 | AE (Advanced Energy) | RFX II 3000 | Generator | SPARES | 1 | inquire | ||
109879 | AE (Advanced Energy) | RFX II 3000 | Generator | SPARES | 1 | inquire | ||
109880 | AE (Advanced Energy) | RFX II Controller | Miscellaneous | SPARES | 1 | inquire | ||
109881 | AE (Advanced Energy) | Sparc-le 20 | Power Supply | SPARES | 1 | inquire | ||
109882 | AE (Advanced Energy) | Sparc-le V | Generator | SPARES | 1 | inquire | ||
109883 | AE (Advanced Energy) | Sparc-le V | Generator | SPARES | 1 | inquire | ||
109884 | AE (Advanced Energy) | Sparc-le-V | Generator | SPARES | 1 | inquire | ||
109885 | AE (Advanced Energy) | TCM Controller | Miscellaneous | SPARES | 1 | inquire | ||
109630 | AE (Advanced Energy) | AM-20 | Match | SPARES | 1 | inquire | ||
109886 | AE (Advanced Energy) | Verteq | Generator | SPARES | 1 | inquire | ||
109631 | AE (Advanced Energy) | AMNPS-2A Controller | Miscellaneous | SPARES | 1 | inquire | ||
109887 | AE (Advanced Energy) | Verteq | Generator | SPARES | 1 | inquire | ||
109632 | AE (Advanced Energy) | AMNPS-2A Controller | Miscellaneous | SPARES | 1 | inquire | ||
109888 | AE (Advanced Energy) | Verteq | Generator | SPARES | 1 | inquire | ||
109633 | AE (Advanced Energy) | Analog Control Fixture | Miscellaneous | SPARES | 1 | inquire | ||
109889 | AE (Advanced Energy) | VHF2060 | Generator | SPARES | 1 | inquire | ||
109634 | AE (Advanced Energy) | Analog Control Fixture | Miscellaneous | SPARES | 1 | inquire | ||
109890 | AE (Advanced Energy) | VHF2060 | Generator | SPARES | 1 | inquire | ||
109635 | AE (Advanced Energy) | Analog Control Fixture | Miscellaneous | SPARES | 1 | inquire | ||
109891 | AE (Advanced Energy) | VHF2060 | Generator | SPARES | 1 | inquire | ||
109636 | AE (Advanced Energy) | Analog Control Fixture | Miscellaneous | SPARES | 1 | inquire | ||
109892 | AE (Advanced Energy) | VM 1000 A | Match | SPARES | 1 | inquire | ||
109893 | AE (Advanced Energy) | Z Scan | Miscellaneous | SPARES | 1 | inquire | ||
109638 | AE (Advanced Energy) | Apex 1513 | Generator | SPARES | 1 | inquire | ||
109639 | AE (Advanced Energy) | Apex 1513 | Generator | SPARES | 1 | inquire | ||
109640 | AE (Advanced Energy) | Apex 1513 | Generator | SPARES | 1 | inquire | ||
109641 | AE (Advanced Energy) | Apex 3013 | Generator | SPARES | 1 | inquire | ||
109642 | AE (Advanced Energy) | Apex 3013 | Generator | SPARES | 1 | inquire | ||
109644 | AE (Advanced Energy) | Aspect 2513 | Generator | SPARES | 1 | inquire | ||
109645 | AE (Advanced Energy) | Aspect 2513 | Generator | SPARES | 1 | inquire | ||
109646 | AE (Advanced Energy) | Aspect 5013 | Generator | SPARES | 1 | inquire | ||
109647 | AE (Advanced Energy) | ATN Match | Match | SPARES | 1 | inquire | ||
109648 | AE (Advanced Energy) | ATX 600 | Match | SPARES | 1 | inquire | ||
109649 | AE (Advanced Energy) | ATX 600 | Match | SPARES | 1 | inquire | ||
109650 | AE (Advanced Energy) | ATX 600 | Match | SPARES | 1 | inquire | ||
109651 | AE (Advanced Energy) | ATX 600 | Match | SPARES | 1 | inquire | ||
109652 | AE (Advanced Energy) | ATX 600 Controller | Miscellaneous | SPARES | 1 | inquire | ||
109653 | AE (Advanced Energy) | AZX 10 | Match | SPARES | 1 | inquire | ||
109654 | AE (Advanced Energy) | AZX 10 | Match | SPARES | 1 | inquire | ||
109656 | AE (Advanced Energy) | AZX 10 Controller | Miscellaneous | SPARES | 1 | inquire | ||
109657 | AE (Advanced Energy) | AZX 63 Bias | Match | SPARES | 1 | inquire | ||
109658 | AE (Advanced Energy) | AZX 63 Bias | Match | SPARES | 1 | inquire | ||
109659 | AE (Advanced Energy) | AZX 63 Controller HTCM | Miscellaneous | SPARES | 1 | inquire | ||
109660 | AE (Advanced Energy) | AZX 63 Controller HTCM | Miscellaneous | SPARES | 1 | inquire | ||
109661 | AE (Advanced Energy) | AZX Box Module | Match | SPARES | 1 | inquire | ||
109662 | AE (Advanced Energy) | AZX Controller | Miscellaneous | SPARES | 1 | inquire | ||
109663 | AE (Advanced Energy) | AZX Controller | Miscellaneous | SPARES | 1 | inquire | ||
109664 | AE (Advanced Energy) | AZX Controller | Miscellaneous | SPARES | 1 | inquire | ||
109665 | AE (Advanced Energy) | AZX Controller | Miscellaneous | SPARES | 1 | inquire | ||
109666 | AE (Advanced Energy) | Cesar | Generator | SPARES | 1 | inquire | ||
109667 | AE (Advanced Energy) | Cesar 0230 | Generator | SPARES | 1 | inquire | ||
109668 | AE (Advanced Energy) | Cesar 1310 | Generator | SPARES | 1 | inquire | ||
109669 | AE (Advanced Energy) | Cesar 1312 | Generator | SPARES | 1 | inquire | ||
109670 | AE (Advanced Energy) | Cesar 1312 | Generator | SPARES | 1 | inquire | ||
109671 | AE (Advanced Energy) | Cesar 136 | Generator | SPARES | 1 | inquire | ||
109672 | AE (Advanced Energy) | Cesar 136 | Generator | SPARES | 1 | inquire | ||
109673 | AE (Advanced Energy) | Cesar 2710 | Generator | SPARES | 1 | inquire | ||
109674 | AE (Advanced Energy) | Cesar 2720 | Generator | SPARES | 1 | inquire | ||
109675 | AE (Advanced Energy) | Cesar 2720 | Generator | SPARES | 1 | inquire | ||
109676 | AE (Advanced Energy) | Cesar 2720 | Generator | SPARES | 1 | inquire | ||
109677 | AE (Advanced Energy) | Controller | Miscellaneous | SPARES | 1 | inquire | ||
109678 | AE (Advanced Energy) | Counter/Display | Match | SPARES | 1 | inquire | ||
109679 | AE (Advanced Energy) | Dome Match | Match | SPARES | 1 | inquire | ||
109680 | AE (Advanced Energy) | Dome Match | Match | SPARES | 1 | inquire | ||
109681 | AE (Advanced Energy) | Dome Match | Match | SPARES | 1 | inquire | ||
109682 | AE (Advanced Energy) | Dome Match | Match | SPARES | 1 | inquire | ||
109683 | AE (Advanced Energy) | Fixed Match | Match | SPARES | 1 | inquire | ||
109684 | AE (Advanced Energy) | Fixed Match | Match | SPARES | 1 | inquire | ||
109685 | AE (Advanced Energy) | Gencal Power Meter | Miscellaneous | SPARES | 1 | inquire | ||
109686 | AE (Advanced Energy) | Gencal Power Meter | Miscellaneous | SPARES | 1 | inquire | ||
109687 | AE (Advanced Energy) | Gencal Power Meter | Miscellaneous | SPARES | 1 | inquire | ||
109688 | AE (Advanced Energy) | Gencal Power Meter | Miscellaneous | SPARES | 1 | inquire | ||
109689 | AE (Advanced Energy) | Gencal Power Meter | Miscellaneous | SPARES | 1 | inquire | ||
109690 | AE (Advanced Energy) | Gencal Power Meter | Miscellaneous | SPARES | 1 | inquire | ||
109691 | AE (Advanced Energy) | GenCal Power Sensor | Miscellaneous | SPARES | 1 | inquire | ||
109692 | AE (Advanced Energy) | GenCal Power Sensor | Miscellaneous | SPARES | 1 | inquire | ||
109693 | AE (Advanced Energy) | GenCal Power Sensor | Miscellaneous | SPARES | 1 | inquire | ||
109694 | AE (Advanced Energy) | HFG 5000 | Generator | SPARES | 1 | inquire | ||
109695 | AE (Advanced Energy) | HFV 8000 | Generator | SPARES | 1 | inquire | ||
109696 | AE (Advanced Energy) | HFV 8000 | Generator | SPARES | 1 | inquire | ||
109697 | AE (Advanced Energy) | HFV 8000 | Generator | SPARES | 1 | inquire | ||
109698 | AE (Advanced Energy) | HFV 8000 | Generator | SPARES | 1 | inquire | ||
109699 | AE (Advanced Energy) | Hilight 133 | Generator | SPARES | 1 | inquire | ||
109700 | AE (Advanced Energy) | Hilight 133 | Generator | SPARES | 1 | inquire | ||
109701 | AE (Advanced Energy) | Hilight 133 | Generator | SPARES | 1 | inquire | ||
109702 | AE (Advanced Energy) | Hilight 133 | Generator | SPARES | 1 | inquire | ||
109703 | AE (Advanced Energy) | Hilight 136 | Generator | SPARES | 1 | inquire | ||
109704 | AE (Advanced Energy) | Hilight 136 | Generator | SPARES | 1 | inquire | ||
109705 | AE (Advanced Energy) | ION Source Supply | Generator | SPARES | 1 | inquire | ||
109706 | AE (Advanced Energy) | LF-5 | Generator | SPARES | 1 | inquire | ||
109707 | AE (Advanced Energy) | LF-5 | Generator | SPARES | 1 | inquire | ||
109708 | AE (Advanced Energy) | LF-5 | Generator | SPARES | 1 | inquire | ||
109709 | AE (Advanced Energy) | LF-5 | Generator | SPARES | 1 | inquire | ||
109710 | AE (Advanced Energy) | LF-5 | Generator | SPARES | 1 | inquire | ||
109711 | AE (Advanced Energy) | MDX 1.5 | Generator | SPARES | 1 | inquire | ||
109712 | AE (Advanced Energy) | MDX 1.5K | Generator | SPARES | 1 | inquire | ||
109713 | AE (Advanced Energy) | MDX 1.5K | Generator | SPARES | 1 | inquire | ||
109714 | AE (Advanced Energy) | MDX 10K | Generator | SPARES | 1 | inquire | ||
109715 | AE (Advanced Energy) | MDX 20K Master | Generator | SPARES | 1 | inquire | ||
109716 | AE (Advanced Energy) | MDX 20K Master | Generator | SPARES | 1 | inquire | ||
109717 | AE (Advanced Energy) | MDX 20K Master | Generator | SPARES | 1 | inquire | ||
109718 | AE (Advanced Energy) | MDX 20K Master | Generator | SPARES | 1 | inquire | ||
109719 | AE (Advanced Energy) | MDX Controller | Miscellaneous | SPARES | 1 | inquire | ||
109720 | AE (Advanced Energy) | MDX DELTA SLAVE | Generator | SPARES | 1 | inquire | ||
109721 | AE (Advanced Energy) | MDX II Calibration Box | Miscellaneous | SPARES | 1 | inquire | ||
109722 | AE (Advanced Energy) | MDX Magnetron | Generator | SPARES | 1 | inquire | ||
109723 | AE (Advanced Energy) | MDX Magnetron Drive | Generator | SPARES | 1 | inquire | ||
109724 | AE (Advanced Energy) | MDX Master | Generator | SPARES | 1 | inquire | ||
109725 | AE (Advanced Energy) | MDX Slave | Generator | SPARES | 1 | inquire | ||
109726 | AE (Advanced Energy) | MDX-10K Master | Generator | SPARES | 1 | inquire | ||
109727 | AE (Advanced Energy) | MDX-10K Master | Generator | SPARES | 1 | inquire | ||
109728 | AE (Advanced Energy) | MDX-10K Slave | Generator | SPARES | 1 | inquire | ||
109729 | AE (Advanced Energy) | MDX-20K Slave | Generator | SPARES | 1 | inquire | ||
109730 | AE (Advanced Energy) | MDX-20K Slave | Generator | SPARES | 1 | inquire | ||
109731 | AE (Advanced Energy) | MDX-20K Slave | Generator | SPARES | 1 | inquire | ||
109732 | AE (Advanced Energy) | MDX-20K Slave | Generator | SPARES | 1 | inquire | ||
109733 | AE (Advanced Energy) | MDX-5K | Generator | SPARES | 1 | inquire | ||
109734 | AE (Advanced Energy) | MDX-5K | Generator | SPARES | 1 | inquire | ||
109735 | AE (Advanced Energy) | MDX-5K | Generator | SPARES | 1 | inquire | ||
109736 | AE (Advanced Energy) | MDX-L Diagnostic Controller | Miscellaneous | SPARES | 1 | inquire | ||
109737 | AE (Advanced Energy) | MDX-L Diagnostic Controller | Miscellaneous | SPARES | 1 | inquire | ||
109738 | AE (Advanced Energy) | MDX-L Diagnostic Controller | Miscellaneous | SPARES | 1 | inquire | ||
109739 | AE (Advanced Energy) | Mercury 10013 | Match | SPARES | 1 | inquire | ||
109740 | AE (Advanced Energy) | Mercury 10013 | Match | SPARES | 1 | inquire | ||
109741 | AE (Advanced Energy) | Mercury 10013 | Match | SPARES | 1 | inquire | ||
109742 | AE (Advanced Energy) | Mercury 10013 | Match | SPARES | 1 | inquire | ||
109743 | AE (Advanced Energy) | Mercury d2 | Match | SPARES | 1 | inquire | ||
109744 | AE (Advanced Energy) | Mini-Panel | Miscellaneous | SPARES | 1 | inquire | ||
109745 | AE (Advanced Energy) | Mini-Panel | Miscellaneous | SPARES | 1 | inquire | ||
109746 | AE (Advanced Energy) | Navigator | Match | SPARES | 1 | inquire | ||
109747 | AE (Advanced Energy) | Navigator 10013-L80 | Match | SPARES | 1 | inquire | ||
109748 | AE (Advanced Energy) | Navigator Match | Match | SPARES | 1 | inquire | ||
109749 | AE (Advanced Energy) | Navigator Match | Match | SPARES | 1 | inquire | ||
109750 | AE (Advanced Energy) | Ovation 2060 | Generator | SPARES | 1 | inquire | ||
109751 | AE (Advanced Energy) | Ovation 2760 | Generator | SPARES | 1 | inquire | ||
109752 | AE (Advanced Energy) | Ovation 35162 | Generator | SPARES | 1 | inquire | ||
109753 | AE (Advanced Energy) | Ovation 35162 | Generator | SPARES | 1 | inquire | ||
109754 | AE (Advanced Energy) | Ovation 35162 | Generator | SPARES | 1 | inquire | ||
109755 | AE (Advanced Energy) | Paramount 3013 | Generator | SPARES | 1 | inquire | ||
109756 | AE (Advanced Energy) | Paramount 3013 | Generator | SPARES | 1 | inquire | ||
109757 | AE (Advanced Energy) | PDW 2200 | Generator | SPARES | 1 | inquire | ||
109758 | AE (Advanced Energy) | PDW 600 | Generator | SPARES | 1 | inquire | ||
109759 | AE (Advanced Energy) | PDW 600 | Generator | SPARES | 1 | inquire | ||
109760 | AE (Advanced Energy) | PDW Controller | Miscellaneous | SPARES | 1 | inquire | ||
109761 | AE (Advanced Energy) | PDW Controller | Miscellaneous | SPARES | 1 | inquire | ||
109762 | AE (Advanced Energy) | PDW Controller | Miscellaneous | SPARES | 1 | inquire | ||
109763 | AE (Advanced Energy) | PDX 2500 | Generator | SPARES | 1 | inquire | ||
109764 | AE (Advanced Energy) | PDX 2500 | Generator | SPARES | 1 | inquire | ||
109765 | AE (Advanced Energy) | PDX 2500 | Generator | SPARES | 1 | inquire | ||
109766 | AE (Advanced Energy) | PDX 2500 | Generator | SPARES | 1 | inquire | ||
109767 | AE (Advanced Energy) | PDX 2500 | Generator | SPARES | 1 | inquire | ||
109768 | AE (Advanced Energy) | PDX 2500 | Generator | SPARES | 1 | inquire | ||
109769 | AE (Advanced Energy) | PDX 2500 | Generator | SPARES | 1 | inquire | ||
109770 | AE (Advanced Energy) | PDX 2500 | Generator | SPARES | 1 | inquire | ||
109771 | AE (Advanced Energy) | PDX 2500 | Generator | SPARES | 1 | inquire | ||
109772 | AE (Advanced Energy) | PDX II PLASMA DRIVE 2000 | Generator | SPARES | 1 | inquire | ||
109773 | AE (Advanced Energy) | PE-1000 | Generator | SPARES | 1 | inquire | ||
109774 | AE (Advanced Energy) | PE-2500 | Generator | SPARES | 1 | inquire | ||
109775 | AE (Advanced Energy) | PE-2500 | Generator | SPARES | 1 | inquire | ||
109776 | AE (Advanced Energy) | PE-2500 | Generator | SPARES | 1 | inquire | ||
109777 | AE (Advanced Energy) | PE-2500 | Generator | SPARES | 1 | inquire | ||
109778 | AE (Advanced Energy) | PEII 5K | Generator | SPARES | 1 | inquire | ||
109779 | AE (Advanced Energy) | Pinnacle 10k | Generator | SPARES | 1 | inquire | ||
109780 | AE (Advanced Energy) | Pinnacle 10k | Generator | SPARES | 1 | inquire | ||
109781 | AE (Advanced Energy) | Pinnacle 10k | Generator | SPARES | 1 | inquire | ||
109782 | AE (Advanced Energy) | Pinnacle 10k | Generator | SPARES | 1 | inquire | ||
109783 | AE (Advanced Energy) | Pinnacle 10k | Generator | SPARES | 1 | inquire | ||
109784 | AE (Advanced Energy) | Pinnacle 10K | Generator | SPARES | 1 | inquire | ||
109785 | AE (Advanced Energy) | Pinnacle 10K | Generator | SPARES | 1 | inquire | ||
109786 | AE (Advanced Energy) | Pinnacle 10K | Generator | SPARES | 1 | inquire | ||
109787 | AE (Advanced Energy) | Pinnacle 10K | Generator | SPARES | 1 | inquire | ||
109788 | AE (Advanced Energy) | Pinnacle 10K | Generator | SPARES | 1 | inquire | ||
109789 | AE (Advanced Energy) | Pinnacle 10K | Generator | SPARES | 1 | inquire | ||
109790 | AE (Advanced Energy) | Pinnacle 10K | Generator | SPARES | 1 | inquire | ||
109791 | AE (Advanced Energy) | Pinnacle 12k | Generator | SPARES | 1 | inquire | ||
109792 | AE (Advanced Energy) | Pinnacle 12k | Generator | SPARES | 1 | inquire | ||
109793 | AE (Advanced Energy) | Pinnacle 12k | Generator | SPARES | 1 | inquire | ||
109794 | AE (Advanced Energy) | Pinnacle 15k | Generator | SPARES | 1 | inquire | ||
109795 | AE (Advanced Energy) | Pinnacle 15k | Generator | SPARES | 1 | inquire | ||
109796 | AE (Advanced Energy) | Pinnacle 20k | Generator | SPARES | 1 | inquire | ||
109797 | AE (Advanced Energy) | Pinnacle 20k | Generator | SPARES | 1 | inquire | ||
109798 | AE (Advanced Energy) | Pinnacle 20k | Generator | SPARES | 1 | inquire | ||
109799 | AE (Advanced Energy) | Pinnacle 20k | Generator | SPARES | 1 | inquire | ||
109800 | AE (Advanced Energy) | Pinnacle 20k | Generator | SPARES | 1 | inquire | ||
109801 | AE (Advanced Energy) | Pinnacle 20k | Generator | SPARES | 1 | inquire | ||
109802 | AE (Advanced Energy) | Pinnacle 6k | Generator | SPARES | 1 | inquire | ||
109803 | AE (Advanced Energy) | Pinnacle 6k | Generator | SPARES | 1 | inquire | ||
109804 | AE (Advanced Energy) | Pinnacle 6k | Generator | SPARES | 1 | inquire | ||
109805 | AE (Advanced Energy) | Pinnacle 6k | Generator | SPARES | 1 | inquire | ||
109806 | AE (Advanced Energy) | Pinnacle 6k | Generator | SPARES | 1 | inquire | ||
109807 | AE (Advanced Energy) | Pinnacle 6k | Generator | SPARES | 1 | inquire | ||
109808 | AE (Advanced Energy) | Pinnacle 6k | Generator | SPARES | 1 | inquire | ||
109809 | AE (Advanced Energy) | Pinnacle 6k | Generator | SPARES | 1 | inquire | ||
109810 | AE (Advanced Energy) | Pinnacle Controller | Miscellaneous | SPARES | 1 | inquire | ||
109811 | AE (Advanced Energy) | Pinnacle Controller | Miscellaneous | SPARES | 1 | inquire | ||
109812 | AE (Advanced Energy) | Pinnacle Controller | Miscellaneous | SPARES | 1 | inquire | ||
109813 | AE (Advanced Energy) | Pinnacle Controller | Miscellaneous | SPARES | 1 | inquire | ||
109814 | AE (Advanced Energy) | Pinnacle Controller | Miscellaneous | SPARES | 1 | inquire | ||
109815 | AE (Advanced Energy) | Pinnacle Controller | Miscellaneous | SPARES | 1 | inquire | ||
109816 | AE (Advanced Energy) | Pinnacle Controller | Miscellaneous | SPARES | 1 | inquire | ||
109817 | AE (Advanced Energy) | Pinnacle Dual 6k | Generator | SPARES | 1 | inquire | ||
109818 | AE (Advanced Energy) | Pinnacle Plus 10k | Generator | SPARES | 1 | inquire | ||
109819 | AE (Advanced Energy) | Pinnacle Plus 10k | Generator | SPARES | 1 | inquire | ||
109820 | AE (Advanced Energy) | Pinnacle Plus 10k | Generator | SPARES | 1 | inquire | ||
109821 | AE (Advanced Energy) | Pinnacle Plus 10k | Generator | SPARES | 1 | inquire | ||
109822 | AE (Advanced Energy) | Pinnacle Plus 5k | Generator | SPARES | 1 | inquire | ||
109823 | AE (Advanced Energy) | PMH 13/3000 | Miscellaneous | SPARES | 1 | inquire | ||
108795 | AET TECHNOLOGIES | Four de Recuit Rapide | RTP Tool | 1 | inquire | |||
108720 | AG Associates | Heatpulse 410 | Rapid Thermal Processor | 1 | as is where is | |||
108796 | AG ASSOCIATES | Heatpulse 410 | Benchtop RTP Tool | 1 | inquire | |||
109897 | AGL Inc | Microwave Generator | Generator | SPARES | 1 | inquire | ||
80267 | Air Products | Precision Tool | Manometer 1.5 Bar | spares | 9 | as is where is | immediately | |
80268 | AIR PRODUCTS | R-704-VCR | PRESSURE REGULATORS TEST | APSA46/2250 | 30.04.1993 | 2 | as is where is | immediately |
83904 | AIR PRODUCTS | F-074-VCR | PRESSURE REGULATOR 10-50L MIN HCL | P/N: 101203-2 | 01.10.1989 | 1 | as is where is | immediately |
108797 | AIRCO TEMESCAL | CV-8-210 | E Beam Power Supply Controller, 3ea Available - Parts Only | SPARES | 1 | inquire | ||
109350 | AIXCON | Y2000 | RF GENERATOR | Spares | 1 | as is where is | ||
109351 | AIXCON | Y2000 | RF GENERATOR | Spares | 1 | as is where is | ||
108724 | Alcan Tech/Canon | MAS-8000 | Microwave Ashing System | 200 mm | 1 | as is where is | immediately | |
77087 | Alcatel | flange | Alcatel pump flange 4" ID 5 1/4" OD, SST | 1 | as is where is | |||
108725 | ALCATEL | 5150CP | Turbo Molecular Vacuum Pump | PUMP | 2 | as is where is | immediately | |
109574 | Alcatel Adixen | ADS1202H | Dry vacuum Pump | PUMP | 118 | as is where is | immediately | |
110754 | Alcatel Adixen | ADS1202H | Dry vacuum Pump | PUMP | 5 | as is where is | immediately | |
109898 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | ||
109899 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | ||
109900 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | ||
109901 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | ||
109902 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | ||
109903 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | ||
109904 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | ||
109905 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | ||
109906 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | ||
109907 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | ||
108131 | AMEC | PRIMO | 3CH Dry etcher | 300 mm | 1 | as is where is | ||
74261 | AMI Presco | ACL-TS | Solar wafer picker tool | 31.12.2006 | 1 | as is where is | immediately | |
77188 | AMI Presco | USP206-2E | Solar wafer conveyor, 156mm for use with screen printers, etc. | 156mm | 1 | as is where is | immediately | |
77168 | Asco | SC8210G004 | Solenoid Valve, 1 In, Orifice 1 In, Brass | Spares | 1 | as is where is | immediately | |
83571 | Asco | SC8210G93 | Solenoid Valve, | Spares | 1 | as is where is | immediately | |
82176 | Astec | VS3-C2-C2-C2 | Power Supply 2000 watts (-450-CE) | 1 | as is where is | immediately | ||
82227 | Astec | VS3-C2-C2-02 | Power Supply 2000 watts (-450-CE) 5.2V FOR CREDENCE DUO TESTER | Spares | 01.06.2011 | 2 | as is where is | immediately |
83556 | Astec | VS3-C8-A8-02 (-451-CE) | Power Supply 2000 watts FOR CREDENCE DUO TEST SYSTEM | Spares | 1 | as is where is | immediately | |
83557 | Astec | VS1-L3-02 (-435-CE) | Power Supply 1500 watts | 1 | as is where is | immediately | ||
83558 | Astec | VS1-D8-02 (-436-CE) | Power Supply 1500 watts | SPARES | 1 | as is where is | immediately | |
109942 | Astec | 9N24-32-372-FQ-3 | Power Supply | SPARES | 1 | inquire | ||
80315 | Astec | VS3-D8-D8-02 | Power Supply 2000 watts | 2 | as is where is | immediately | ||
81873 | Astec | VS1-L3-02 (-335-CE) | Power Supply 1500 watts | Spares | 1 | as is where is | immediately | |
81875 | Astec | VS3-D4-B4-22 (-447-ce) | Power Supply I/P 115-230 VAC/15A O/P 15V DC 120A 2000 W | Spares | 01.06.1999 | 4 | as is where is | immediately |
81876 | Astec | VS1-L5-02 (-452-ce) | Power Supply | 1 | as is where is | immediately | ||
53270 | Astec Powertec | 9K2-300-372 | Super Switcher Power Supply | Spares | 31.05.1994 | 2 | as is where is | immediately |
109366 | ASTECH | ATL-100RA | RF GENERATOR | Spares | 4 | as is where is | ||
109367 | ASTECH | ATL-100RA | RF GENERATOR | Spares | 1 | as is where is | ||
109368 | ASTECH | ATL-100RA-03 | RF GENERATOR | Spares | 4 | as is where is | ||
109943 | Astech | ATL-100RA | Match | SPARES | 1 | inquire | ||
109944 | Astech | ATL-100RA | Match | SPARES | 1 | inquire | ||
109945 | Astech | ATL-100RA | Match | SPARES | 1 | inquire | ||
109946 | Astech | ATL-100RA | Match | SPARES | 1 | inquire | ||
109947 | Astech | ATL-100RA/DT2L | Match | SPARES | 1 | inquire | ||
100347 | Autec | ATC-70B-RS | Thermal Shock Tester | 1 | as is where is | immediately | ||
109067 | Axcelis | NV8250P | Medium Current Implanter | 200 mm | 01.06.1995 | 1 | as is where is | immediately |
109086 | Axcelis | NV-GSD-200E2 | High Current Ion Implanter | 150 mm | 01.09.2004 | 1 | as is where is | immediately |
103517 | AXCELIS | ES3 | Plasma Asher | 300 mm | 1 | as is where is | ||
108901 | Axcelis | Rapid Cure320FC Radiator | UV anneal System | 300 mm | 01.05.2007 | 1 | as is where is | immediately |
108905 | Axcelis | RapidCure 320FC | RPC | 300 mm | 01.12.2005 | 1 | as is where is | immediately |
111223 | AXCELIS | 5000132 | STDF 3/8LX3/8X6-32 RND, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111224 | AXCELIS | 17287690 | BALL SEAL, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111225 | AXCELIS | 17031590 | INSUL ES QUAD, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111226 | AXCELIS | 5001057 | STDF 5/8LX3/8X6-32 CER 2601, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111227 | AXCELIS | 1708680 | INSULATING BUSHING ARC CHAMBER, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111228 | AXCELIS | 17287680 | FLANGE SEAL, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111229 | AXCELIS | 17170590 | REPELLER INSULATOR ELS/IAS, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111230 | AXCELIS | 17147310 | INSULATOR STANDOFF SOURCE, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
94591 | Axcelis | B 07 554 | MOTOR GSD 180 TOP | SPARES | 1 | as is where is | ||
111231 | AXCELIS | 17287870 | INSULATOR CATHODE PLT, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
94592 | Axcelis | PN 1027-0093-0035 | Beam Shutter DI 14 | SPARES | 1 | as is where is | ||
94595 | Axcelis | PS WX 75 N12 0XX0 M 863742-02 | GLASSMAN High Voltage DC Power Supply | SPARES | 1 | as is where is | ||
94600 | Axcelis | 1214110 | EMS Power Supply 10 – 60 LAMBDA | SPARES | 1 | as is where is | immediately | |
94603 | Axcelis | Rock | 4 x Kit Air Jet Replacement | SPARES | 1 | as is where is | ||
94604 | Axcelis | Rock | 4 x Kit Air Jet Replacement | SPARES | 1 | as is where is | ||
106639 | AXCELIS | INTEGRA-RS | DRY STRIP | 300 mm | 01.06.2012 | 1 | as is where is | |
108700 | Axcelis | Fusion ES3 | Asher | 300 MM | 01.02.2001 | 1 | as is where is | immediately |
72111 | Axcelis | 17091650 | SUPP RACK | Spares | 3 | as is where is | immediately | |
111283 | AXCELIS | 17181020 | SCREWS SHIELDS, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111284 | AXCELIS | 17164520 | ELECTRODE FILAMENT, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111285 | AXCELIS | 17287860 | CATHODE MTG PLT, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111286 | AXCELIS | 17270230 | SHIELD (2) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111287 | AXCELIS | 17270220 | DIS 72743 FLD RPL ONLY SHIELD (1) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
72120 | Axcelis | 17S2467 | DISK | Spares | 1 | as is where is | immediately | |
111288 | AXCELIS | 17118510 | POLE SHORT ES QUAD, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
108729 | AXCELIS | 110000800 | Universal 300mm Disk Cart MAT # 4501422 | 2 | as is where is | |||
111289 | AXCELIS | 17270120 | SHIELD BOLT HEAD FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111290 | AXCELIS | 17270110 | BLOCK (5) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111291 | AXCELIS | 17165510 | APERTURE FILAMENT, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111292 | AXCELIS | 170150707 | SHLD (2) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111293 | AXCELIS | 17270070 | BLOCK (1) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111294 | AXCELIS | 17270090 | BLOCK (3) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111295 | AXCELIS | 17270240 | APERTURE 1 AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111296 | AXCELIS | 17270080 | BLOCK (2) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111297 | AXCELIS | 17270210 | BLOCK (3) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111298 | AXCELIS | 17270100 | BLOCK (4) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111299 | AXCELIS | 17270130 | APERTURE (1) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111300 | AXCELIS | 17224320 | APERTURE FARADAY RESOLVER, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111301 | AXCELIS | 17270190 | BLOCK (1) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111302 | AXCELIS | 17371250 | SLIT, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111303 | AXCELIS | 17270200 | BLOCK (2) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111304 | AXCELIS | 17173230 | APERTURE ENTRANCE E-SHOWER, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111305 | AXCELIS | 17350350 | LINER FEM BELLOWS LEAD, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111306 | AXCELIS | 17182580 | STRIKE PLATE (2) DISK, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111307 | AXCELIS | 17182600 | STRIKE PLATE (4) DISK, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111308 | AXCELIS | 17182590 | STRIKE PLATE (3) DISK,, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111309 | AXCELIS | 17182570 | STRIKE PLATE (1) DISK FARADAY, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111310 | AXCELIS | 17098511 | APERTURE BEAM DEF PLT, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111311 | AXCELIS | 17293110 | ADAPTER RING DISC FARADAY GRAPHITE SHIELD, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111312 | AXCELIS | 17125570 | INA 57064 FLD RPL ONLY GROUND PLATE HE SPEC, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
91345 | AXCELIS | INTEGRA | ES plasma dry strip system | 300 mm | 1 | as is where is | ||
111313 | AXCELIS | 17350360 | LINER LEAD, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111314 | AXCELIS | 170151678 | BLOCK (4) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111315 | AXCELIS | 170150706 | BLOCK (3) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111316 | AXCELIS | 170150704 | BLOCK (1) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111317 | AXCELIS | 17228170 | LINER GRAPHITE BEAM GUIDE PLATE, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111318 | AXCELIS | 17270170 | LINER (3) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111319 | AXCELIS | 170151677 | LINER HORIZONTAL AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111320 | AXCELIS | 170150709 | LINER (3) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111321 | AXCELIS | 17270180 | LINER (4) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111322 | AXCELIS | 17270020 | LINER (2) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111323 | AXCELIS | 17270060 | LINER (7) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111068 | Axcelis | 440001339 | SCREW #8-32 X .1875 HEX/SC/CONE/PT SST NF - AXCELIS HE3 | Spares | 5 | inquire | ||
111324 | AXCELIS | 170150703 | LINER (4) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111069 | Axcelis | 170156358 | SCREW SHOULDER, SUS - AXCELIS HE3 | Spares | 5 | inquire | ||
111325 | AXCELIS | 17125561 | EXTRACTION ELECTRODE, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111070 | Axcelis | 170154476 | ARC CHMBR SPACER, SUS - AXCELIS HE3 | Spares | 5 | inquire | ||
111326 | AXCELIS | 170150705 | BLOCK (2) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111071 | Axcelis | 170158596 | ARC CHMBR SPACER, SUS - AXCELIS HE3 | Spares | 5 | inquire | ||
111327 | AXCELIS | 17270010 | LINER (1) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111072 | Axcelis | 17S1597 | FEEDTHRU 11 ESHOWER, ALU, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111328 | AXCELIS | 17270140 | APERTURE (2) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111073 | Axcelis | 17308540 | LINER SIDE W-ELS VAP, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111329 | AXCELIS | 17270050 | LINER (5) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111074 | Axcelis | 17170610 | REPELLER STUD W ELS/IAS, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111330 | AXCELIS | 17270030 | LINER (3) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111075 | Axcelis | 17308550 | LINER REPELLER W-ELS, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111331 | AXCELIS | 17F6732 | LINER AMU BELLOWS, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111076 | Axcelis | 17308520 | LINER BOTTOM W-ELS, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111332 | AXCELIS | 17164580 | TARGET GRAPHITE E-SHOWER, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111077 | Axcelis | 17170600 | REPELLER W ELS/IAS, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111333 | AXCELIS | 170150708 | LINER (1) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111078 | Axcelis | 17133340 | CATHODE REPELLER 85% DENSE W-ELS/VAE, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111334 | AXCELIS | 17135440 | GRAPHITE LINER ELECTRON SHOWER, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111079 | Axcelis | 17A0732 | FEED THRU, ALU, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111335 | AXCELIS | 17270040 | LINER (4) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111080 | Axcelis | 17308560 | LINER CATHODE W-ELS, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111336 | AXCELIS | 170153782 | INSERT GRAPHITE SCE FLANGE - AXCELIS HE3 | Spares | 5 | inquire | ||
111081 | Axcelis | 170093650R13 | CATHODE ASSY TUNGSTEN ELS, 13MM - AXCELIS HE3 | Spares | 5 | inquire | ||
111337 | AXCELIS | 170151676 | LINER L SHAPE HORIZONTAL AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
109546 | Axcelis | Optima MD | Medium Current Ion Implanter | 300 mm | 01.06.2006 | 1 | as is where is | immediately |
111082 | Axcelis | 170093650R15 | CATHODE ASSY TUNGSTEN ELS, 15MM - AXCELIS HE3 | Spares | 5 | inquire | ||
111083 | Axcelis | 170093650R17 | CATHODE ASSY TUNGSTEN ELS, 17MM - AXCELIS HE3 | Spares | 5 | inquire | ||
111084 | Axcelis | 170030630 | CATHODE TUNGSTEN ELS 2X, W, HIGH PURITY - - | Spares | 5 | inquire | ||
111085 | Axcelis | 17287851 | FILAMENT ELS-2X (.040), W - AXCELIS HE3 | Spares | 5 | inquire | ||
111086 | Axcelis | 17335310 | CLAMP FILAMENT ETERNA ELS, TZM, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111087 | Axcelis | 17230090 | ARC SLIT T15 W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111088 | Axcelis | 17308500 | ARC CHAMBER W-ELS/VAE, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
109370 | AXICON | Y2000 | RF GENERATOR | Spares | 1 | as is where is | ||
109371 | AXICON | Y2000 | RF GENERATOR | Spares | 1 | as is where is | ||
100888 | Baccini | Furnace 3 | Drying Furnace | Solar | 31.05.2008 | 1 | as is where is | immediately |
56142 | Baccini | Screen printers | Printers and Dryers | 156 mm | 01.06.2001 | 1 | as is where is | immediately |
56145 | Baccini | SUN SIMULATOR / CELL TESTER | Cell Tester | 156mm | 01.06.2006 | 1 | as is where is | immediately |
56146 | Baccini | Sorter 1 | Cell Sorter 1 | 156 mm | 01.06.2006 | 1 | as is where is | immediately |
98708 | Baccini | Furnace 1 | Drying Furnace | Solar | 31.05.2008 | 1 | as is where is | immediately |
98709 | Baccini | Furnace 2 | Drying Furnace | Solar | 31.05.2008 | 1 | as is where is | |
98710 | Baccini | Test 1 | Solar Cell Inspection | Solar | 31.05.2008 | 1 | as is where is | immediately |
98711 | Baccini | Test 2 | Icos Solar Cell Inspection | Solar | 31.05.2008 | 1 | as is where is | immediately |
98712 | Baccini | Test 3 | Automatic Cell Sorter | Solar | 31.05.2008 | 1 | as is where is | immediately |
98713 | Baccini | Wafer Boats | Spares for Baccini solar cell manufacturing line | Solar | 01.05.2008 | 100 | as is where is | immediately |
98715 | Baccini | Printer 1 | Screen Printer | Solar | 31.05.2008 | 1 | as is where is | immediately |
98716 | Baccini | Printer 2 | Screen Printer | Solar | 31.05.2008 | 1 | as is where is | immediately |
98717 | Baccini | Printer 3 | Screen Printer | Solar | 31.05.2008 | 1 | as is where is | immediately |
108703 | Baccini | Softline | Screen printer | Solar | 01.06.2009 | 1 | inquire | immediately |
77009 | Baccini | Screen Printer 2 | screen printer | 156 mm | 31.05.2001 | 1 | as is where is | immediately |
77010 | Baccini | Screen Printer 3 | screen printer | 156 mm | 31.10.2001 | 1 | as is where is | immediately |
77012 | Baccini | Screen Printer 1 | screen printer | 156 mm | 01.11.2001 | 1 | as is where is | immediately |
77013 | Baccini | CHIP AND CRACK CAMERA | Chip and Crack camera | 156 mm | 31.05.2007 | 1 | as is where is | immediately |
77017 | Baccini | Cell electrical tester | Electrical Cell tester | 156 mm | 31.05.2006 | 1 | as is where is | immediately |
77018 | Baccini | Sorter 2 | Cell Sorter 2 | 156 mm | 01.06.2006 | 1 | as is where is | immediately |
77019 | Baccini | Sorter - Furnace Output | Cell Sorter - Furnace output | 156 mm | 01.06.2006 | 1 | as is where is | immediately |
77020 | Baccini | SUN SIMULATOR / CELL TESTER | Cell Tester | 156 mm | 01.06.2006 | 1 | as is where is | immediately |
103388 | Baccini | Oven 3 Unload Buffer FFF | Unload buffer unit from Oven 3 and FFF loader | Solar | 31.05.2008 | 1 | as is where is | immediately |
77021 | Baccini | Dryer 1 | Dryer 1 | 156 mm | 31.10.2001 | 1 | as is where is | immediately |
77022 | Baccini | Dryer 2 | Dryer 1 | 156 mm | 31.10.2001 | 1 | as is where is | immediately |
108730 | BAUSCH & LOMB | StereoZoom 4 | Microscope on Boom Stand, 0.7-3X with 10X W.F. Stereo Eyepieces | 1 | as is where is | |||
108731 | BAUSCH & LOMB | StereoZoom 4 | Microscope on Small Benchtop Stand, 0.7-3X with 15X W.F. Eyepieces | 1 | as is where is | |||
108732 | BAUSCH & LOMB | StereoZoom 6 Plus | Microscope, 0.67-4.0X with WF 10X/21 Eyepieces | 1 | as is where is | |||
108733 | BAUSCH & LOMB | StereoZoom 7 | Microscope on Boom Stand, 1-7X with 15X Eyepieces and 0.67X Adapter Lens | 1 | as is where is | |||
83589 | Beckhoff | BK9000 | Ethernet interface module | Spares | 1 | as is where is | immediately | |
83594 | Beckhoff | KL9050 | PLC Module | 1 | as is where is | immediately | ||
84213 | Beckhoff | KL9020 | Ethernet interface | Spares | 2 | as is where is | immediately | |
111381 | Beijing Sevenstar Huachuang Electronics Co .,Ltd ,China | L42500-4/ZM (SPARES) | Quartz boat for Two Tube horizontal PECVD | 156mm | 01.06.2010 | 2 | as is fob | immediately |
108804 | BRANSON/IPC | Series 4000 | Plasma Barrel Stripper, 10" X 20" Quartz Chamber, S4075-11020 Reactor Center | 1 | inquire | |||
108738 | BRANSON/IPC | 4055/2 | Plasma Surface Treatment Tool w/Shelf Electrodes, 2ea Available | 1 | as is where is | |||
108042 | Brooks etc | Spare Parts | Various Robots, RF generators and Rf Matches for sale | Spares | 1 | as is where is | immediately | |
84378 | CABLE | 3 | as is where is | immediately | ||||
110790 | Cadence | Protium S1 | Hardware Emulation System | 1 | as is where is | immediately | ||
80256 | CAJON | SS-4-VC0 | FITTINGS | 13 | as is where is | immediately | ||
80258 | CAJON | SS-8-VC0-4 | FITTINGS | 5 | as is where is | immediately | ||
77154 | Cam York | ST-17-08-153-01-154-01-0-00-C010(1) | Centrifual Blower Motor 1/8hp 220V 0.65A 30 RPM | Spares | 1 | as is where is | immediately | |
108394 | CAMECA | EX-300 | Implant Dosing Measurement | 300 mm | 1 | as is where is | ||
106645 | CAMECA | EX300 | Secondary Ion Mass Spectrometer | 300 mm | 1 | as is where is | ||
84244 | Camloc | RS-182 | GAS SPRING | Spares | 2 | as is where is | immediately | |
53020 | CANON | Fujitsu denso 4250-E921 | Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
53021 | Canon | Fujitsu Denso 4250-E921 | Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
110623 | Canon | FPA-5510iX | I line stepper | 300 mm | 1 | as is where is | ||
53023 | Canon | Fujitsu Denso 4250-E921 | Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
109090 | Canon | BG3-3822 | DMP Pre-amp PCB for Canon FPA 5000 ES2, 3 etc. | Spares | 1 | as is where is | 2 weeks | |
109091 | Canon | BG3-1045 | REF-MEM (RT) PCB for Canon FPA 5000 ES2, 3 etc. | Spares | 1 | as is where is | immediately | |
106020 | Canon | BG3-3868-000 | MBD Unit (Masking Blade Driver) for Canon FPA5000ES2/ES2+ | Spares | 01.06.1999 | 1 | as is where is | immediately |
109092 | Canon | BG5-1262 | MLE-IF2 PCB for Canon FPA 5000 ES2, 3 etc. | Spares | 1 | as is where is | immediately | |
84774 | Canon | Chuck, 8 inch | Wafer Chuck for EX3, EX4, i4, i5 | 200 mm | 30.04.1999 | 1 | as is where is | immediately |
109094 | CANON | BG3-3831 | RT-DSP PCB for Canon FPA 5000 ES2, 3 etc. | Spares | 1 | as is where is | 2 weeks | |
52262 | Canon | 6736A | reticle handling robot for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 | spares | 30.06.1999 | 1 | as is where is | immediately |
53032 | Canon | Omron APR-S | Reverse Phase Relay for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | |
52265 | Canon | video impedance adapter | 5 channel video impedance adapter | spares | 30.06.1999 | 1 | as is where is | immediately |
109098 | Canon | BY8-6168-4E0 | Canon FPA3000 EX3 Command Guide - operational manual | Manual | 01.06.1996 | 1 | as is where is | immediately |
109099 | Canon | BY8-4615-0E0 | Canon FPA3000 EX3 Maintenance Guide | Manual | 01.06.1996 | 1 | as is where is | immediately |
99884 | Canon | FPA-5000 ES3 | Spare Parts | 300 mm | 1 | as is where is | immediately | |
109100 | Canon | BY8-6168-1E0 | Canon FPA3000 EX3 Operation Guide - operational manual | Manual | 01.06.1996 | 1 | as is where is | immediately |
109101 | Canon | BY8-6168-2E0 | Canon FPA3000 EX3 Parameter Guide 1 - operational manual | Manual | 01.06.1996 | 1 | as is where is | immediately |
109102 | Canon | BY8-6168-3E0 | Canon FPA3000 EX3 Parameter Guide 2 - operational manual | Manual | 01.06.1996 | 1 | as is where is | immediately |
109103 | Canon | BY8-6168-5E0 | Canon FPA3000 EX3 Reticle Guide2 - operational manual | Manual | 01.06.1996 | 1 | as is where is | immediately |
109105 | Canon | FPA 5500 iZ | i-line stepper | 300 mm | 01.06.2001 | 1 | as is where is | immediately |
53041 | Canon | spares | Wafer Cassette holder for FPA 3000 SERIES iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | |
53042 | Canon | FPA 3000 series | Cassette holder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | |
53045 | Canon | Fuji Electric PE-LA 5 D | Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 3 | as is where is | immediately | |
53046 | Canon | Fuji Electric PE-LA 5 D | Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | |
53047 | Canon | Fuji Electric PE-LA 5 D | Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | |
53049 | Canon | BH8-1768-02 | Library Sub Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 31.05.1999 | 1 | as is where is | immediately |
53050 | Canon | BH8-1818-01 | LD/PD board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
103739 | CANON | BG4-6746 BH8-1822-01 BG8-2683 | WF/RC IF PCB FOR CANON I-LINE STEPPERS | Spares | 31.10.1996 | 1 | as is where is | immediately |
103741 | CANON | ROCKY KS-112 | SCSI EXT PCB FOR CANON FPA SERIES I-LINE STEPPERS | SPARES | 31.10.1996 | 1 | as is where is | immediately |
53056 | Canon | BG9-4757, BH8--1069-01 | AF DRV board for Canon FPA 1550 series | spares | 1 | as is where is | immediately | |
53057 | Canon | BG9-4760, BG83111, BH8-1071-02 | SH/RH board for Canon FPA 1550 | spares | 30.06.1999 | 1 | as is where is | immediately |
53058 | Canon | BG9-4761, BG8-3112, BH8-1071-01 | SH/RH board FOR CANON FPA SERIES STEPPERS | spares | 30.06.1999 | 1 | as is where is | immediately |
53059 | Canon | BH8-1073-01, BG9-4763, BG8-3114 | PA SENSER board | spares | 01.06.1999 | 1 | as is where is | immediately |
53060 | Canon | BG9-4764, BH8-1074-01 | PA CCD board for Canon FPA series steppers | spares | 30.06.1999 | 1 | as is where is | immediately |
53061 | Canon | BG9-4762, BG8-3113, BH8-1072-01 | PA STAGE board for Canon 1550MarkIV series | spares | 30.06.1995 | 1 | as is where is | immediately |
53062 | Canon | BG9-4758, BG8-3109, BH8-1070-02 | SC/RC board for Canon FPA series steppers | spares | 30.06.1999 | 1 | as is where is | immediately |
53063 | Canon | BG9-4759, BG8-3110, BH8-1070-02 | SC/RC board for Canon fpa | spares | 30.06.1999 | 1 | as is where is | immediately |
53066 | Canon | BG4-6365 | PPC Pellicle Particle Checker Unit for FPA3000 series stepper iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.04.1997 | 1 | as is where is | immediately |
53074 | Canon | FPA 3000 series extended reticle library | extended reticle library | 6 inch | 01.06.1998 | 1 | as is where is | immediately |
109163 | Canon | FPA-5500 iZa | i-Line Wide-Field Stepper | 300mm | 1 | as is where is | ||
52338 | Canon | BH8-2017-01 | IL3-CD-PCB for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52341 | Canon | BH8-1980-01 | EASRCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52342 | Canon | BH8-1979-01 | EASLCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
108919 | Canon | FPA-6000 ES5 | 248 nm (KrF) excimer exposure system | 300 mm | 01.05.2003 | 1 | as is where is | immediately |
103800 | Canon | BG3-7842 | STG CD4 PCB, for Canon FPA5000 ES3 scanner | Spares | 1 | as is where is | immediately | |
80249 | CANON | BG4-7001 | BH8-1837-01 PCB | 1 | as is where is | immediately | ||
52346 | Canon | BH8-2022-01, BG8-3369, BG4-8680 | EXP-CD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52347 | Canon | BH8-1938-01BG4-9386 BG8-2694 | CD90-CD PCB assembly | spares | 1 | as is where is | immediately | |
103804 | Canon | BG4-6466-000 | ZMI 1000 A Board (Y) | Spares | 1 | immediately | ||
52348 | Canon | BH8-2065-02, BG4-8805, BG8-3375 | CD90-INTLK board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
80253 | Canon | FPA1550 MK4 (Spares) | Targetting lenses for Canon Stepper qty 2 | SPARES | 31.05.1995 | 2 | as is where is | immediately |
52360 | Canon | Zenith ZPS-250 | Multiple voltage power supply 250Watts | spares | 30.06.1999 | 1 | as is where is | immediately |
52365 | Canon | Shimaden SR25-2P-N-00699609 | PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 2 | as is where is | immediately |
52366 | Canon | Shimaden SR25-2P-N-00699609 | PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52367 | Canon | Chino ES-600 | Chart Recorder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
103826 | Canon | BG3-2428-000 | 8 inch and12 inch PIN wafer chuck ASS’Y ( FPA 5000 ES2, ES2+, ES3, ES4) | Spares | 01.05.2000 | 1 | inquire | immediately |
108946 | CANON | FPA-5000 ES3 (Spare Parts) | Spare Parts for Canon ES series DUV scanners | Spares | 12 | as is where is | immediately | |
52379 | CANON | FUJITSU DENSO 4247-E924 | 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52380 | CANON | Fujitsu Denso 4247-E924 | 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52381 | CANON | Fujitsu Denso 4247-E924 | 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52382 | CANON | FUJITU DENSO 4247-E924 | 1ch power supply module for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52384 | Canon | Fujitsu Denso 4247-E924 | 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | |
108968 | Canon | FPA3000 EX3/ i5 (Spares) | Masking Blade Assembly | Spares | 01.06.1998 | 1 | as is where is | immediately |
102059 | Canon | FPA 3000 SERIES i4 i5 EX3 EX4 EX5 EX6 | Various Spare Parts and lenses for Canon FPA-3000 Series | spares | 01.05.1998 | 27 | as is where is | immediately |
105904 | Canon | BG3-3822 | DMP-PREAMP PCB | Spares | 01.05.2000 | 1 | as is where is | immediately |
105905 | Canon | BG3-3823 | DMP-DSP PCB | Spares | 01.05.2000 | 1 | as is where is | immediately |
52153 | Canon | Chuck Tool | Chuck Tool for EX3, EX4, i4, i5 | 200 mm | 30.04.1999 | 1 | as is where is | immediately |
84412 | CANON | FPA 1550 Mark IV (Spares) | Wafer transfer arm INL-WS1 for CANON FPA 1550 MARK 4 | 150 mm | 1 | as is where is | immediately | |
95427 | CANON | FPA2500i3 | i-Line Stepper | 150 mm | 01.05.1995 | 1 | inquire | immediately |
95428 | Canon | FPA-2500i2 | i Line Stepper | 150mm | 1 | as is where is | immediately | |
109020 | Canon | FPA 5000 ES3 | KrF Scanner (Scanner) | 200 mm | 01.05.2001 | 1 | as is where is | immediately |
106205 | Canon | FPA3000 EX3 (Spares) | Complete Illuminator Assembly | Spares | 01.06.1998 | 1 | as is where is | immediately |
52446 | Canon | Fujitsu Denso 4249-E922 | 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52447 | CANON | Fujitsu Denso 4249-E923 | 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 01.06.1999 | 1 | as is where is | immediately |
52448 | CANON | FUJITSU DENSO 4248-E922 | 2 channels power supply module for canon fpa 3000 series | spares | 30.06.1999 | 1 | as is where is | immediately |
52450 | Canon | Fuji Denso 4248-E921 | 2 channels power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
106229 | Canon | BG4-8595 | FPA 3000 series, iA scope objective lens unit, RIGHT | Spares | 01.06.1998 | 1 | as is where is | immediately |
106230 | Canon | BG4-8594 | FPA 3000 SERIES iA scope objective lens unit, Left | Spares | 01.06.1998 | 1 | as is where is | immediately |
106231 | Canon | BG4-85?? | FPA 3000 series iA scope relay lens unit, Right | Spares | 01.06.1998 | 1 | as is where is | immediately |
106232 | Canon | BG4-8599 | FPA 3000 series iA scope shutter unit | Spares | 01.06.1998 | 1 | as is where is | immediately |
106233 | Canon | FPA 3000 EX3/4/5/6 (Spares) | FPA 3000 EX series iA scope lens unit | Spares | 01.06.1998 | 1 | as is where is | immediately |
106234 | Canon | BG4-8601 | Electro-Optical part for FPA 3000 EX series iA scope | Spares | 01.06.1998 | 1 | as is where is | immediately |
105979 | Canon | BG4-6736 | Reticle handling robot for ES2 ES3 ES4 i5 etc | Spares | 01.05.2000 | 1 | as is where is | 1 month |
106235 | Canon | iA scope for FPA 3000 Ex4,5,6 (Spares) | Texas Instruments MC780P CCD EX Camera (Left) | Spares | 01.06.1998 | 1 | as is where is | immediately |
106236 | Canon | iA scope for FPA 3000 Ex4,5,6 (Spares) | Texas Instruments MC780P CCD EX Camera (Right) | Spares | 01.06.1998 | 1 | as is where is | immediately |
106237 | Canon | iA scope for FPA 3000 Ex4,5,6 (Spares) | Issoku FG199 lead screw | Spares | 01.06.1998 | 1 | as is where is | immediately |
106238 | Canon | BG4-8597 | Electro-optical assembly from iA scope for FPA 3000 Ex4,5,6 | Spares | 01.06.1998 | 1 | as is where is | immediately |
106646 | CARL ZEISS | S-INDUSTRIAL | 193 NM LASER | FACILITIES | 1 | as is where is | ||
108976 | Cascade | Summit 12000 | Semi-automatic probe station with Shield Box, Temptronic thermal chuck -65C to 200 C | 200 mm | 01.06.2005 | 1 | as is where is | immediately |
109579 | Cascade Microtech | Summit 11000M | Prober | 200 mm | 1 | as is where is | immediately | |
110700 | Cascade Microtech | 12000B-HS | Prober | 150 mm | 1 | as is where is | immediately | |
83551 | Celerity | UFC-1660 | MFC C2F6 5SLPM | 01.08.2009 | 1 | as is where is | immediately | |
109967 | Celtec | CD1-12 | Power Supply | SPARES | 1 | inquire | ||
109968 | Celtec | CD1-12 | Power Supply | SPARES | 1 | inquire | ||
109969 | Celtec | CK180-6 | Generator | SPARES | 1 | inquire | ||
109970 | Celtec | CK180-6 | Generator | SPARES | 1 | inquire | ||
109971 | Celtec | CM13 | Generator | SPARES | 1 | inquire | ||
56140 | CentroTherm | DO 12.000-200-FF-HTO-CAN-NT4.0 | Belt Reflow Furnace for solar cell production (Fast Firing Furnace) with Dryer | Solar | 01.05.2001 | 1 | as is where is | immediately |
56144 | Centrotherm | Centronic E2000 | Horizontal diffusion furnace for POCl3 doping | 156 mm | 01.05.2003 | 1 | as is where is | immediately |
103801 | Centrotherm | DO-FF-8600-300 | Belt Reflow Furnace for solar cell production (Fast Firing Furnace) | Solar | 01.05.2008 | 1 | as is where is | immediately |
77190 | Centrotherm | Quartz Door | Centrotherm/semco(?) Quartz Door, new | 156mm | 1 | as is where is | immediately | |
98721 | Centrotherm | E 2000 HT 300-4 | Horizontal Diffusion Furnace for POCl3 doping | Solar | 01.05.2008 | 1 | as is where is | immediately |
98722 | Centrotherm | E 2000 HT 320-4 | Nitride Diffusion Furnace for Anti-refective coating PECVD | Up to 300 mm | 01.05.2008 | 1 | as is where is | immediately |
98725 | Centrotherm | Loader | Furnace Loader | Solar | 31.05.2008 | 1 | as is where is | immediately |
108805 | CHA | SEC-1000 | E-Beam Evaporator with CV-8 Power Supply | 1 | inquire | |||
83874 | CKD | M4SB080-M5 | AHM-850 SOLENOID VALVE | 5 | as is where is | immediately | ||
83870 | CKD CYCLINDER | CSD2-L-32-20 | CYLYNDER | SPARES | 0 | as is where is | immediately | |
83871 | CKD CYCLINDER | CSD2-L-32-20 | CYLYNDER | SPARES | 1 | as is where is | immediately | |
103731 | CKPlas | TS-MT510-J, TS-MT610-J | Transfer Solar Wafer Cassette | Solar | 1 | as is where is | immediately | |
21122 | CLEAN ROOM TABLE | CLEANROOM TABLE | STEEL CLEANROOM TABLE | FACILITIES | 1 | as is where is | immediately | |
80083 | COLUSSI | UG 50 E | AUTOCLAVE FOR STERILIZATION | Laboratory | 01.06.2000 | 1 | as is where is | immediately |
109372 | COMDEL | CB-5000 | RF GENERATOR | Spares | 1 | as is where is | ||
109373 | COMDEL | CLX-1000 | RF GENERATOR | Spares | 1 | as is where is | immediately | |
109374 | COMDEL | CLX-10K | RF GENERATOR | Spares | 28 | as is where is | ||
109375 | COMDEL | CLX-10K | RF GENERATOR | Spares | 1 | as is where is | ||
109376 | COMDEL | CLX-1250 | RF GENERATOR | Spares | 3 | as is where is | immediately | |
109377 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 2 | as is where is | immediately | |
109378 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 1 | as is where is | immediately | |
109379 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 1 | as is where is | immediately | |
109380 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 3 | as is where is | immediately | |
109381 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 1 | as is where is | immediately | |
109382 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 1 | as is where is | immediately | |
109383 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 1 | as is where is | immediately | |
109384 | COMDEL | CX-10K | RF GENERATOR | Spares | 7 | as is where is | ||
109385 | COMDEL | LFMN MATCH | RF GENERATOR | Spares | 1 | as is where is | ||
107379 | Comdel | CLX 600 | RF Generator | Spares | 1 | as is where is | immediately | |
109972 | Comdel | CB300 | Generator | SPARES | 1 | inquire | ||
109973 | Comdel | CB300 | Generator | SPARES | 1 | inquire | ||
109974 | Comdel | CB300 | Generator | SPARES | 1 | inquire | ||
109975 | Comdel | CB300 | Generator | SPARES | 1 | inquire | ||
109976 | Comdel | CB4000 | Generator | SPARES | 1 | inquire | ||
109977 | Comdel | CB4000 | Generator | SPARES | 1 | inquire | ||
109978 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | ||
109979 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | ||
109980 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | ||
109981 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | ||
109982 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | ||
109983 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | ||
109984 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | ||
109985 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | ||
109986 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | ||
109987 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | ||
109988 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | ||
109989 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | ||
109990 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | ||
109991 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | ||
109992 | Comdel | CB600 | Generator | SPARES | 1 | inquire | ||
109993 | Comdel | CB600 | Generator | SPARES | 1 | inquire | ||
109994 | Comdel | CB600 | Generator | SPARES | 1 | inquire | ||
109995 | Comdel | CB600 | Generator | SPARES | 1 | inquire | ||
109996 | Comdel | CB600A | Generator | SPARES | 1 | inquire | ||
109997 | Comdel | CB600A | Generator | SPARES | 1 | inquire | ||
109998 | Comdel | CB600A | Generator | SPARES | 1 | inquire | ||
109999 | Comdel | CB600A | Generator | SPARES | 1 | inquire | ||
110000 | Comdel | CB600A | Generator | SPARES | 1 | inquire | ||
110001 | Comdel | CB600S | Generator | SPARES | 1 | inquire | ||
110002 | Comdel | CD-1500 | Generator | SPARES | 1 | inquire | ||
110003 | Comdel | CDX-1000 | Generator | SPARES | 1 | inquire | ||
110004 | Comdel | CLF 5000 | Generator | SPARES | 1 | inquire | ||
110005 | Comdel | CLX 600 | Generator | SPARES | 1 | inquire | ||
110006 | Comdel | CLX 600H | Generator | SPARES | 1 | inquire | ||
110007 | Comdel | CLX 600H | Generator | SPARES | 1 | inquire | ||
110008 | Comdel | Controller | Miscellaneous | SPARES | 1 | inquire | ||
110009 | Comdel | CPM 1000 | Match | SPARES | 1 | inquire | ||
110010 | Comdel | CPM-1000/REMOTE | Miscellaneous | SPARES | 1 | inquire | ||
110011 | Comdel | CPM-5000/REMOTE | Miscellaneous | SPARES | 1 | inquire | ||
110012 | Comdel | CPM-5000/REMOTE | Miscellaneous | SPARES | 1 | inquire | ||
110013 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous | SPARES | 1 | inquire | ||
110014 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous | SPARES | 1 | inquire | ||
110015 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous | SPARES | 1 | inquire | ||
110016 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous | SPARES | 1 | inquire | ||
110017 | Comdel | CPMX-1000 | Match | SPARES | 1 | inquire | ||
110018 | Comdel | CPMX-1000 | Match | SPARES | 1 | inquire | ||
110019 | Comdel | CPMX-1000 | Match | SPARES | 1 | inquire | ||
110020 | Comdel | CPMX-1000 | Match | SPARES | 1 | inquire | ||
110021 | Comdel | CPS 1000 | Generator | SPARES | 1 | inquire | ||
110022 | Comdel | CPS 1000 | Generator | SPARES | 1 | inquire | ||
110023 | Comdel | CPS 3000 | Generator | SPARES | 1 | inquire | ||
110024 | Comdel | CV 1000 | Generator | SPARES | 1 | inquire | ||
110025 | Comdel | CV 1000 | Generator | SPARES | 1 | inquire | ||
110026 | Comdel | CV 1000 | Generator | SPARES | 1 | inquire | ||
110027 | Comdel | CV 500 | Generator | SPARES | 1 | inquire | ||
110028 | Comdel | CV 500 | Generator | SPARES | 1 | inquire | ||
110029 | Comdel | CX 2500S | Generator | SPARES | 1 | inquire | ||
110030 | Comdel | CX 600H | Generator | SPARES | 1 | inquire | ||
110031 | Comdel | CX2500 | Generator | SPARES | 1 | inquire | ||
110032 | Comdel | CX2500 | Generator | SPARES | 1 | inquire | ||
110033 | Comdel | CX2500 | Generator | SPARES | 1 | inquire | ||
110034 | Comdel | CX2500S | Generator | SPARES | 1 | inquire | ||
110035 | Comdel | ESC-2 | Power Supply | SPARES | 1 | inquire | ||
110036 | Comdel | ESC-2 | Power Supply | SPARES | 1 | inquire | ||
110037 | Comdel | LFMN8/5 | Match | SPARES | 1 | inquire | ||
109386 | COMET | 101187 | RF GENERATOR | Spares | 1 | as is where is | ||
109387 | COMET | 101187 | RF GENERATOR | Spares | 4 | as is where is | ||
109388 | COMET | 101187 | RF GENERATOR | Spares | 1 | as is where is | ||
109389 | COMET | 300159 | RF GENERATOR | Spares | 1 | as is where is | ||
110670 | Complete | G5.5 | Flat Panel Display Production line | 1300mm x 1500mm | 01.06.2004 | 1021 | as is where is | immediately |
110671 | Complete | G6 | Flat Panel Display Production line | 1500mm x 1850mm | 01.06.2006 | 920 | as is where is | immediately |
110672 | Complete | G6 | Flat Panel Display Production line | 1500mm x 1850mm | 01.06.2005 | 1 | as is where is | immediately |
53025 | COMPUMOTOR | M57-51 | Lead screw | spares | 1 | as is where is | immediately | |
82179 | Concoa | 806 6530 | Singe stage Oxygen regulator valve w/ guage | 1 | as is where is | immediately | ||
84021 | Condor | B24-1.2 | dc power supply | 1 | as is where is | immediately | ||
21665 | CONDOR | HCC15 3A + | POWER SUPPLY UNIT FOR KLA 7700 SURFSCAN | SPARES | 31.01.1996 | 1 | as is where is | immediately |
108741 | Contamination Control | Desiccator boxes, 10ea Available | Facilities | 10 | as is where is | immediately | ||
99395 | Convac | CBA-M-2000-U | Photoresist coater | 01.01.1995 | 1 | as is where is | immediately | |
13143 | COSEL | MMC100-2 | POWER SUPPLY | SPARES | 2 | as is where is | immediately | |
108742 | CR TECHNOLOGY | UF160-0 | Xray System | 1 | as is where is | |||
109533 | Creative Design Engineering (CDE) | ResMap 178 | Resistivity Mapping System | Up to 200 mm | 01.06.2012 | 1 | as is where is | immediately |
78638 | Credence | Duo SX (Spare Parts) | SPARE PARTS FROM AUTOMATED TEST SYSTEM | test | 1 | as is where is | immediately | |
87089 | Credence | Personal Kalos I | Test system | TEST | 31.10.2002 | 1 | as is where is | immediately |
84278 | Credence | 671-4394-01 | Test head connector board | Spares | 01.01.1999 | 2 | as is where is | immediately |
84279 | Credence | 671-4270-02 | Capture Processor board 1A | Spares | 01.01.1999 | 1 | as is where is | immediately |
84280 | Credence | 671-4394-04 | Test head connector board | Spares | 01.01.1999 | 1 | as is where is | immediately |
84281 | Credence | 672-6051-01 | Support Module Interface | 01.01.1999 | 1 | as is where is | immediately | |
80211 | Credence | Duo SX (Spare Parts) | Credence Duo SX Main PC | Spares | 01.01.1999 | 2 | as is where is | immediately |
83559 | Credence | 671-4276-50 | PIN card FOR CREDENCE DUO SX TESTER | Spares | 01.01.1999 | 3 | as is where is | immediately |
83819 | Credence | 671-4359-01 | DPAC Parallel Pattern Memory PCB | Spares | 01.01.1999 | 1 | as is where is | immediately |
84099 | Credence | 671-1376-02 | Analog Power Monitor PCB 584-02 | Spares | 01.07.1999 | 1 | as is where is | immediately |
80269 | Credence | 671-4283-01 | Duo SX ROM Sequencer TPI/PD | Spares | 01.07.1999 | 1 | as is where is | immediately |
80270 | Credence | 671-4331-01 | DUO SX ROM Sequencer PCB | SPARES | 01.07.1999 | 1 | as is where is | immediately |
80271 | Credence | 670-9426-05 | DUO SX Controller I/O PCB | Spares | 01.07.1999 | 1 | as is where is | immediately |
80272 | Credence | 671-0693-04 | Duo SX DMA2 Controller PCB | spares | 01.05.1999 | 1 | as is where is | immediately |
80273 | Credence | 671-4043-01 | DUO SX FUNCTIONAL TEST CONTROLLER PCB | Spares | 01.04.1999 | 2 | as is where is | immediately |
80274 | Credence | 671-4098-952707 | DUO SX Master Clock Dist. | 01.10.1999 | 1 | as is where is | immediately | |
81829 | Credence | 202-2000-00 | Credence Duo SX controller | 01.06.1999 | 1 | as is where is | immediately | |
106924 | Credence | 671-4359-00 REV 3 | DPAC Parallel Pattern Memory | SPARES | 01.07.1999 | 18 | as is where is | immediately |
106925 | Credence | 671-4177-04 | Support Module Interface PCB | spares | 01.08.1998 | 2 | as is where is | immediately |
106926 | Credence | 671-4351-00 rev A | Intersegment Communications Connector | Spares | 01.01.1999 | 1 | as is where is | immediately |
83631 | Credence | 071-1022-01 | Duo & Logic 100 Test System Service Manual | MANUAL | 01.01.1997 | 1 | as is where is | immediately |
106927 | Credence | 671-2119-00 | Analog Support Air flow / Bus Grant | Spares | 8 | as is where is | immediately | |
106928 | Credence | 671-4270-02 REV A | Capture Processor PCB 1A | Spares | 01.01.1999 | 2 | as is where is | immediately |
106929 | Credence | 671-4270-03 rev A | Capture Processor 1a pcb | Spares | 01.01.1999 | 2 | as is where is | immediately |
106930 | Credence | 671-4155-05 | Analog Support Module VHF PCB | Spares | 01.06.1999 | 1 | as is where is | |
106931 | Credence | 671-4098-952707 | DUO SX Master Clock Dist. PCB | spares | 01.10.1999 | 1 | as is where is | immediately |
106932 | Credence | 671-4283-01 REV 4 | Duo SX ROM Sequencer TPI/PD PCB | SPARES | 01.07.1999 | 1 | as is where is | immediately |
106933 | Credence | 671-4331-01 | DUO SX ROM Sequencer PCB | Spares | 01.07.1999 | 1 | as is where is | immediately |
106934 | Credence | 670-9426-05 | DUO SX Controller I/O PCB | Spares | 01.07.1999 | 1 | as is where is | immediately |
80311 | Credence | 671-4127-00 | Scan Memory | 01.01.1999 | 15 | as is where is | immediately | |
106935 | Credence | 671-0693-04 | Duo SX DMA2 Controller PCB | Spares | 01.05.1999 | 2 | as is where is | immediately |
80312 | Credence | 671-4359-00 | DPAC Parallel Pattern Memory | Spares | 01.01.1999 | 35 | as is where is | immediately |
106936 | Credence | 671-4043-01 REV A | DUO SX FUNCTIONAL TEST CONTROLLER PCB | Spares | 01.04.1999 | 2 | as is where is | immediately |
80313 | Credence | 672-4359-00 | DPAC Parallel Pattern Memory | 01.01.1999 | 1 | as is where is | ||
106937 | Credence | 671-0951-04 | DUO SX Analog I/O Board | Spares | 01.01.1999 | 3 | as is where is | immediately |
80314 | Credence | 672-6051-03 | Support Module Interface PCB for a Credence Duo tester | Spares | 01.01.1999 | 4 | as is where is | immediately |
106938 | Credence | 671-4127-00 | Scan Memory PCB FOR A CREDENCE DUO SX TESTER | Spares | 01.01.1999 | 4 | as is where is | immediately |
106939 | Credence | 671-4394-05 REV A | Test head connector PCB | Spares | 01.11.2005 | 1 | as is where is | immediately |
80316 | Credence | 671-4270-03 | Capture Processor | 01.01.1999 | 2 | as is where is | immediately | |
106940 | Credence | 672-6051-01 | Support Module Interface tpi / td pcb | Spares | 01.07.1999 | 1 | as is where is | immediately |
80317 | Credence | 671-2119-00 | Analog Support Air flow Bus Grant PCB , for Credence DUO tester | Spares | 7 | as is where is | immediately | |
80318 | Credence | 671-4155-05 | Analog Support Module VHF PCB for Credence Duo Tester | Spares | 01.06.1997 | 1 | as is where is | immediately |
80320 | Credence | 671-4351-00 | Intersegment Communications Connector | 01.01.1999 | 1 | as is where is | immediately | |
81864 | Credence | 671-4098-02 | DUO SX Master Clock Dist. PCB | Spares | 01.02.1999 | 1 | as is where is | immediately |
81866 | Credence | 671-4635-01 | Testhead connector PCB board, from a Credence Duo SX test system | Spares | 01.02.2007 | 1 | as is where is | immediately |
82168 | Credence | 671-1461-04 | Intersegment communications board | Spares | 01.07.1999 | 1 | as is where is | immediately |
109081 | CTI Cryogenics | 9600 | CRYOGENIC COMPRESSOR | Facilities | 01.06.2015 | 1 | as is where is | immediately |
54562 | CTI cryogenics | CRYOTORR-100 | Cryopump | PUMP | 31.05.1997 | 1 | as is where is | immediately |
94583 | CTI Cryogenics | 8033168 | Torr High Vacuum Pump | PUMP | 1 | as is where is | ||
94584 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump | PUMP | 1 | as is where is | ||
94585 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump | PUMP | 1 | as is where is | ||
94586 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump | PUMP | 1 | as is where is | ||
94587 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump | PUMP | 1 | as is where is | ||
94588 | CTI Cryogenics | 8033168 | Torr High Vacuum Pump | PUMP | 1 | as is where is | immediately | |
94589 | CTI Cryogenics | 8116036 G 002 | Pump On Board | PUMP | 1 | as is where is | ||
94590 | CTI Cryogenics | 80182 G 001 | Torr Pump | PUMP | 1 | as is where is | ||
110749 | CTI Cryogenics | 8033168 | High torr vacuum pump | PUMP | 1 | as is where is | immediately | |
69855 | CTI Cryogenics | Cryotorr 100 | Cryopump | pump | 31.05.1997 | 1 | as is where is | immediately |
4259 | CTI-CRYOGENIC | 8040406 REV C | ONBOARD FASTREGEN OPERATION MANUAL | Spares | 1 | as is where is | ||
4260 | CTI-CRYOGENIC | 8040491 REV B | ONBOARD CRYOPUMP INST. MAINT MANUAL | Spares | 01.01.1970 | 1 | as is where is | |
4265 | CTI-CRYOGENIC | 8040406 REV C | ONBOARD FASTREGEN OPERATION MANUAL | Spares | 1 | as is where is | ||
4266 | CTI-CRYOGENIC | 8040491 REV B | ONBOARD CRYOPUMP INST. MAINT MANUAL | Spares | 01.01.1970 | 1 | as is where is | |
106521 | Custom | Polypropylene 3 ft Bench | 3ft Develop Hood Positive Resist Batch | 150 mm/200 mm | 1 | as is where is | immediately | |
106523 | Custom | S-Cubed | Spin Coat Bake System | Clamshell | 1 | as is where is | immediately | |
4267 | CVC | 31-313216-8171 | CONNEXION INSTRUCTION MANUAL | Spares | 01.03.1998 | 1 | as is where is | immediately |
83892 | CVC | GTC-036 | TERMOCOUPLE GAUGE TUBE | 3 | as is where is | immediately | ||
109044 | CVD Equipment Corporation | Easy Tube 3000 | Growth Equipment | 06.01.2009 | 2 | as is where is | ||
106647 | CYBER OPTICS | CYBERSCAN C212/110 | Laser Measure | 200 mm | 1 | as is where is | ||
106018 | Cymer | 01-13500-07 | Paddle for Cymer 4300 laser | Spares | 30.06.1996 | 1 | as is where is | immediately |
110753 | CYMER | ELS-6400 | 248 NM DUV Excimer Laser | Facilities | 01.06.2002 | 1 | as is where is | immediately |
108963 | Cymer | XLA 160+/165 | 193 nm excimer laser | Facilities | 1 | as is where is | immediately | |
52159 | Cymer | ELS 4300 | Maintenance Tool kit and spare parts | SPARES | 01.06.1998 | 1 | as is where is | immediately |
110720 | Datacon | 2200 apm | MultiChip Die Bonder | 300 MM | 01.06.2007 | 1 | as is where is | immediately |
106482 | Datacon | CS1250 | Die Pick and Sort to tape reel | 150 mm/200 mm/300 MM | 1 | as is where is | immediately | |
108747 | DELTRONIC | DH14-RR | Profile Projector with 20X Objective Lens | 1 | as is where is | |||
110594 | Delvotec | 4500/Siplace A2 | Die Bonder | Assembly | 01.06.2006 | 1 | as is all rebuilt | immediately |
110595 | Delvotec | 6200 | Gold Ball Wire Bonder | Assembly | 01.06.2007 | 1 | inquire | immediately |
111398 | Delvotec | 4500/Siplace A2 | Die Bonder | Assembly | 01.06.2006 | 1 | as is all rebuilt | immediately |
77186 | Despatch | KK33UH-009-00-4,MC | Thermocouple sensor probe | spares | 1 | as is where is | immediately | |
77187 | Despatch | 164700 | Thermocouple | Spares | 1 | as is where is | immediately | |
108995 | Despatch | CDF 7210 (SPARES) | 55 cm length of furnace belt | spares | 1 | as is where is | immediately | |
76954 | Dimetric | JGT-10F | Relay 380V 80A | spares | 4 | as is where is | immediately | |
111361 | Disco | DAD 3350 | Scriber & Dicer | 1 | as is where is | immediately | ||
111369 | Disco | DCS1440 | Wafer & Mask Scrubber | 1 | as is where is | immediately | ||
109110 | Disco | DAD 3350 | Automatic Dicing Saw | 200 mm | 01.01.2008 | 5 | as is where is | immediately |
109111 | Disco | DFD 6240 | Fully automatic dicing saw | 200 mm | 01.06.2007 | 1 | as is where is | immediately |
106816 | Disco | DAD 321 | Automatic wafer dicing saw | 150 mm | 01.05.2001 | 1 | as is where is | immediately |
108396 | Disco | DFL7160 | Laser Saw | 300 mm | 1 | as is where is | ||
108397 | Disco | DFL7340 | Laser Saw | 200 mm | 01.01.2016 | 1 | as is where is | |
108398 | Disco | DFS8910 | Surface Planarization | 300 mm | 1 | as is where is | ||
109169 | DISCO | DFL7160 | Laser Saw | 300mm | 1 | as is where is | ||
109170 | DISCO | DFL7160 | Laser Saw | 300mm | 1 | as is where is | ||
108155 | DISCO | DFG-82IF/8 | Rotary Surface Grinder | 200 mm | 01.06.1992 | 1 | as is where is | |
110721 | Disco | DFG 850 | Wafer Back Grinder | 200 MM | 01.06.2000 | 1 | as is where is | immediately |
110722 | Disco | DFG 850 | Wafer Back Grinder | 200 MM | 01.06.2004 | 1 | as is where is | immediately |
108951 | Disco | DSC 141 | After Sawing Cleaner | 1 | as is where is | immediately | ||
110745 | Disco | DTU 152 | Water Temperature Controller Unit | Assembly | 01.06.2016 | 5 | as is where is | |
110764 | Disco | DFL7340 | Laser Saw | 200 mm | 01.06.2011 | 1 | as is where is | immediately |
110800 | Disco | DGP8761 with DFM2800 | Wafer Back Grinder with Wafer Taper | 300 mm | 01.06.2012 | 1 | inquire | immediately |
106461 | Disco | DFD6361 | DICING SAW | 150-200 mm | 01.11.2009 | 1 | as is where is | immediately |
106462 | Disco | DFD6362 | DICING SAW | 150 mm/200 mm | 01.05.2016 | 1 | as is where is | immediately |
106463 | Disco | DFD651 | 8" Dual Spindle Automated DICING Saw | 150 mm/200 mm | 01.06.1995 | 1 | as is where is | immediately |
106464 | Disco | DFD651 | 8 inch Dual Spindle Dicing Saw | 150 mm/200 mm | 01.06.2000 | 1 | as is where is | immediately |
90089 | DISCO | DFL7160 | WBL LASER SAW (DAF Type) | 300 mm | 01.05.2012 | 1 | as is where is | immediately |
111362 | DNS Dai Nippon Screen | CW2000 | Wet stations | 1 | as is where is | immediately | ||
83876 | DOMNICK HUNTER | QR 010 REV.4.0 | FILTER | 30.09.2007 | 2 | as is where is | immediately | |
110071 | Drytech | 13.56Mhz Power Source | Generator | SPARES | 1 | inquire | ||
106466 | ECI | QL-10-EX | PLATING BATH ANALYSER | Facilities | 1 | as is where is | immediately | |
107007 | ECO Snow | VersaClean 1200 | Mask / Substrate cleaner | 150 mm | 01.10.2012 | 1 | as is where is | immediately |
108041 | EDWARDS. ETC. | Vacuum Pumps | Various Vacuum Pumps, Tubo Pumps, Controllers for sale | Spares | 1 | as is where is | immediately | |
79521 | Efector | 500 | Pressure sensor switch, programmable | 01.01.2001 | 1 | as is where is | immediately | |
15658 | ELCO | MMC50-1 | POWER SUPPLY | 1 | as is where is | immediately | ||
83636 | ELCO CO. LTD | K50A-15 | POWER SUPPLY | 15V3.4A | 3 | as is where is | immediately | |
83639 | ELCO CO. LTD | K SERIES | POWER SUPPLY | K100 A-12 | 0 | as is where is | immediately | |
78132 | Electroglas | Horizon 4085X | Fully Automatic Prober with Optem microscope and an inker | 125 mm, 150 mm and 200 mm | 01.01.1998 | 1 | as is where is | immediately |
91591 | ELECTROGLAS | EG5300 | Prober | 300 mm | 1 | as is where is | ||
91592 | ELECTROGLAS | EG5/300 A | Prober | 300 mm | 31.12.2004 | 1 | as is where is | immediately |
91593 | ELECTROGLAS | EG5300 | Prober | 300 mm | 1 | as is where is | ||
110072 | Electrotech | LF A48257 | Match | SPARES | 1 | inquire | ||
110073 | Electrotech | LF A48257 | Match | SPARES | 1 | inquire | ||
110074 | Electrotech | LF A48257 | Match | SPARES | 1 | inquire | ||
106467 | EO Technic | CSM-2000 | CHIP SCALE LASER MARKER | 150 mm/200 mm | 01.06.2002 | 1 | as is where is | immediately |
108064 | EO Technics | EO 9702 | Laser marking | 1 | as is where is | |||
108641 | EO TECHNICS | CSM 2000 | Chip scale laser wafer marker | 150 mm/200 mm | 01.06.2006 | 1 | as is where is | immediately |
98462 | EO TECHNICS | CSM 3000 | Chip Scale laser marker | 200 mm/300 mm | 01.06.2005 | 1 | as is where is | immediately |
106469 | EO Technics | CSM2000 | CHIP SCALE LASER MARKER | 150 mm/200 mm | 1 | as is where is | immediately | |
106470 | EO TECHNICS | CSM2000 | CHIP SCALE LASER MARKER | 200 mm | 01.06.2005 | 1 | as is where is | immediately |
106655 | EO TECHNOLOGY | FOR GLASS PANEL | Laser Marker for Glass | 200 mm | 01.06.2006 | 1 | as is where is | |
84090 | ERACOND | X3 | MINIATURE AIR CYLINDER | 1 | as is where is | immediately | ||
84080 | ERACOND Z3 | M20 PD 50 | MINIATUR AIR CYLINDER | 1 | as is where is | immediately | ||
108815 | ESEC | CT-2000 | Automatic Flip Chip Die Attacher, 3ea Available | ASSEMBLY | 1 | inquire | ||
100704 | ESEC | 3018 | Gold Ball Bonder | Assembly | 1 | as is where is | immediately | |
100705 | ESEC | 3088 | Gold Ball Bonder | Assembly | 1 | as is where is | immediately | |
110778 | ESEC | 2008-XP | Die Bonder | Assembly | 01.06.2004 | 1 | ||
110779 | ESEC | 2006HR | Die Bonder, with Eutectic bonding capability | Assembly | 1 | inquire | immediately | |
108749 | ESEC | BU-050-N | Parts Unloader for Micron 2 Automatic Die Attacher | 1 | inquire | |||
108750 | ESEC | BL-050-N | Parts Loader for Micron 2 Automatic Die Attacher | 1 | inquire | |||
106899 | ESI ELECTRO SCIENTIFIC INDUSTRIES | 146900-01 REV A | Lower Pneumatic Control Box for ESI 9830 | spares | 01.09.2007 | 1 | as is where is | 2 weeks |
110756 | ESI ELECTRO SCIENTIFIC INDUSTRIES | 9825 | Laser Repair System | 300 mm and 200 mm | 01.10.2003 | 10 | as is where is | immediately |
108065 | ESPEC | STPH-101 | OVEN | OVEN | 1 | as is where is | ||
108066 | ESPEC | TSB 51 | Shock Chamber | RELIABILITY | 1 | as is where is | ||
109175 | Evatech/Balzers | BAK SPLIT | PVD (Physical Vapor Deposition) | 200mm | 1 | as is where is | ||
109176 | Evatech/Balzers | BAK SPLIT | PVD (Physical Vapor Deposition) | 200mm | 1 | as is where is | ||
108412 | Evatech/Balzers | BAK SPLIT | PVD (Physical Vapor Deposition) | 5 | as is where is | |||
83515 | Extraction Systems | TMB 150 | Photoresist Contamination Monitor System / Total Amine Analyzer | Facilities | 31.05.2004 | 1 | as is where is | immediately |
108748 | FAITH TECHNOLOGY | RapiTran 2 | Wafer Transfer Station | 150 mm | 1 | as is where is | immediately | |
110602 | FEI Company | XL820 | Dualbeam FIB | 16.06.2001 | 1 | as is where is | ||
106009 | FEI Company | FIB 200 | Single Beam FIB | Laboratory | 01.06.2003 | 1 | as is where is | immediately |
110704 | FEI Company | 820 | Dual beam FIB SEM | Laboratory | 1 | as is where is | immediately | |
108067 | FICO | SM | Injection mold press | ASSEMBLY | 2 | as is where is | ||
108276 | FICO | AMS24 | AUTO MOLDING SYSTEM | ASSEMBLY | 01.06.1995 | 1 | as is where is | immediately |
106894 | FINETECH GmbH | Fineplacer 96 | Manual FlipChip Bonder | 1 | as is where is | immediately | ||
83616 | FSP GROUP INC | 100-240 W | POWER SUPPLY for a p.c. | 10A 50-60 Hz | 01.01.2008 | 10 | as is where is | immediately |
83903 | GACHOT | DN 15-10 | STAINLSS STEEL BALL VALVE | Spares | 2 | as is where is | immediately | |
84075 | Gasonics | DTC2280 | ISA IDE control board floppy HDD | 1 | as is where is | immediately | ||
91348 | Gasonics | IRIDIA 4800 DL | Stripper / Asher | 31.05.1998 | 1 | as is where is | ||
91349 | Gasonics | IRIDIA 4800 DL | Stripper / Asher | 1 | as is where is | |||
91351 | Gasonics | IRIDIA 4800 DL | Stripper / Asher | 31.05.1998 | 1 | as is where is | ||
110314 | GASONICS | Gasonics IPC Match | Match | SPARES | 1 | inquire | ||
110315 | GASONICS | Gasonics IPC Match | Match | SPARES | 1 | inquire | ||
110316 | GASONICS | Gasonics Match | Match | SPARES | 1 | inquire | ||
108751 | GCA TROPEL | 9000 | Wafer Flatness Analyzer | 1 | as is where is | |||
110317 | Gencal | GenCal Power Meter | Miscellaneous | SPARES | 1 | inquire | ||
110318 | General Electric | Dummy Load | Miscellaneous | SPARES | 1 | inquire | ||
110782 | Giant Force | GPO-270-B | Burn-In Oven | Reliability | 01.09.2022 | 1 | as is where is | immediately |
110783 | Giant Force | GTH-150-40-CP-SD | Temperature and Humidity Chamber | Reliability | 01.02.2023 | 1 | as is where is | immediately |
110319 | Glassman High Voltage, Inc | PS/FL1.5F1.0 | Generator | SPARES | 1 | inquire | ||
83800 | GSC | P140-74 | Gleichstrom Servo Motor | 1 | as is where is | immediately | ||
106042 | Hardwall cleanroom | Kingspan Ultratech Versatile | 950 sq mt ISO Class 8 cleanroom | facilities | 01.06.2023 | 1 | as is where is | immediately |
111376 | Hewlett Packard | HP3455A | Digital Voltometer | 1 | as is where is | immediately | ||
111377 | Hewlett Packard | HP54542A | Oscilloscope | 1 | as is where is | immediately | ||
109568 | Hitachi | CG-4100 | Critical Dimension (CD) Measurement SEM | 300 mm | 01.06.2012 | 1 | as is where is | immediately |
109569 | Hitachi | CG-4000 | Critical Dimension (CD) Measurement SEM | 300 mm | 01.06.2010 | 1 | as is where is | immediately |
111363 | Hitachi | S-4800 | CD SEM | 1 | as is where is | immediately | ||
91397 | HITACHI | IS2700SE | Dark Field inspection | 1 | as is where is | |||
86278 | Hitachi | 6280H CONTROL RACK | CD SEM (PARTS) | Spares | 01.05.1995 | 1 | as is where is | immediately |
108040 | Hitachi | Spare Parts | Various Spare Parts for sale | Spares | 1 | as is where is | immediately | |
109578 | HITACHI | CM-700H | WIRE BONDER | ASSEMBLY | 01.05.2007 | 20 | inquire | immediately |
91403 | HITACHI | S-5000 | FE SEM | 150 mm,200 mm | 1 | as is where is | ||
60939 | HITACHI | S4160 | Scanning electron microscope | 31.05.1996 | 1 | as is where is | ||
91404 | HITACHI | S-5000 | FE SEM | 150 mm,200 mm | 1 | as is where is | ||
91405 | HITACHI | S-5000 | FE SEM | 150 mm,200 mm | 1 | as is where is | ||
91407 | HITACHI | S-5000 | FE SEM | 150 mm,200 mm | 1 | as is where is | ||
91408 | HITACHI | S-5000 | FE SEM | 150 mm,200 mm | 1 | as is where is | ||
108568 | HITACHI | LS9000 | Wafer Surface Inspection System | 300mm | 01.06.2010 | 1 | as is where is | immediately |
91417 | HITACHI | LS-6800 | wafer surface inspection | 300 mm | 31.08.2007 | 1 | as is where is | immediately |
111385 | Hitachi | 566-5504 | CPU PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately |
91418 | HITACHI | UA-7200 | Stripper/Asher | 31.05.2001 | 1 | as is where is | ||
111386 | Hitachi | 565-5506 | MAG. ADJ. PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately |
111387 | Hitachi | 545-5505 | RS232C PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately |
111388 | Hitachi | 566-5346 | PANEL I/F PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately |
111389 | Hitachi | 566-5513 | C/G RAM PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately |
111390 | Hitachi | 545-5591 | CRTC (T) PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately |
111391 | Hitachi | 545-5596 | V-AMT (T) PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately |
111392 | Hitachi | 545-5598 | NV-MEM PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 2 | as is where is | immediately |
111393 | Hitachi | 545-5507 | SCAN CONTROL PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately |
111394 | Hitachi | 566-5510 | HV LENS PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately |
108068 | Hitachi | HL7000M | E-Beam Litho (6 inch mask) | RETICLE | 1 | as is where is | ||
108069 | Hitachi | HL7500M | E-Beam Litho (6 inch mask) | RETICLE | 1 | as is where is | ||
108070 | Hitachi | HL7800M | E-Beam Litho (6 inch mask) | RETICLE | 1 | as is where is | ||
108071 | Hitachi | HL8000M | E-Beam Litho (6 inch mask) | RETICLE | 1 | as is where is | immediately | |
108072 | Hitachi | S-6280H | CD SEM | 1 | as is where is | |||
74794 | Hitachi | S5200 | FE SEM with EDX | Inspection | 31.05.2005 | 1 | as is where is | immediately |
53054 | HITACHI | 6280H (SPARES) | SORD Computer for cd sem system | spares | 1 | as is where is | immediately | |
52301 | Hitachi | 545-5516 | 7 Channel Power Supply module | spares | 0 | as is where is | immediately | |
52312 | Hitachi | 545-5522 | VG board for CD SEM | spares | 31.05.1994 | 1 | as is where is | immediately |
108896 | Hitachi | RS4000 | Defect Review SEM | 300 mm | 01.06.2006 | 1 | as is where is | immediately |
103525 | HITACHI | S4700-l | Scanning Electron Microscope | Laboratory | 31.05.2004 | 1 | as is where is | immediately |
103526 | HITACHI | S4700-ll | FE Sem with Horriba EMAX EDX | Laboratory | 31.05.2001 | 1 | as is where is | immediately |
108902 | Hitachi | RS4000 | Defect Review SEM | 300 mm | 01.05.2007 | 1 | as is where is | immediately |
103527 | HITACHI | S4700-ll | FE Sem with Horriba EMAX EDX (Destocking Status) | Laboratory | 31.05.2003 | 1 | as is where is | immediately |
52339 | Hitachi | 545-5521 | EVAC PCB FOR HITACHI CD-SEM | spares | 31.05.1994 | 1 | as is where is | immediately |
52340 | Hitachi | 545-5537 | IP-PC2 for cd-sem | spares | 31.05.1994 | 1 | as is where is | immediately |
52343 | Hitachi | 377-7592 | Power Supply Module for CD SEM | spares | 31.05.1994 | 1 | as is where is | immediately |
108413 | Hitachi | CG4000 | SEM | 300 mm | 3 | as is where is | ||
108159 | HITACHI | S4700II | FE SEM with EDAX (Detecting Unit) | 1 | as is where is | |||
109183 | Hitachi | CG4000 | SEM - Critical Dimension (CD) Measurement | 300mm | 1 | as is where is | ||
108160 | HITACHI | CV4000 | High Voltage SEM | 300 mm | 01.06.2014 | 1 | as is where is | |
83849 | Hitachi | S4500 Type I | FE SEM | Laboratory | 01.05.1995 | 1 | inquire | immediately |
36518 | HITACHI | S-5000 | 1 | inquire | ||||
106662 | HITACHI | FB2100 | FIB | 200 mm | 01.06.2003 | 1 | as is where is | |
106663 | HITACHI | IS3000SE | WAFER PARTICLE INSPECTION | 300 mm | 01.06.2006 | 1 | as is where is | |
106664 | HITACHI | IS3200SE | WAFER PARTICLE INSPECTION | 300 mm | 01.06.2010 | 1 | as is where is | |
106665 | HITACHI | LS9000 | Wafer Surface Inspection | 300 mm | 01.06.2010 | 1 | as is where is | |
106666 | HITACHI | LS-6800 | Wafer Surface Inspection | 300 mm | 01.06.2007 | 1 | as is where is | |
102060 | Hitachi | 6280H | CD SEM Control Rack including qty 12 PC Boards in the rack | Spares | 12 | as is where is | immediately | |
110765 | Hitachi | S-8840 | CD SEM | 1 | as is all rebuilt | |||
110766 | Hitachi | S-9260A | SEM | 1 | as is where is | immediately | ||
106160 | HITACHI | RS6000 (Enhanced) | Defect Review SEM | 300 mm | 01.06.2013 | 1 | as is where is | immediately |
110781 | Hitachi | S-7840 | High Resolution Imaging and CD-SEM | 150 mm to 200 mm | 01.09.2000 | 1 | inquire | immediately |
52166 | Hitachi | 545-5515 | DC power supply module for CD SEM | spares | 1 | as is where is | immediately | |
52167 | Hitachi | 6280H | Power Supply Module 4channels | spares | 1 | as is where is | immediately | |
52168 | Hitachi | 545-5540 | Power Supply unit for CD SEM | Spares | 1 | as is where is | immediately | |
108752 | HITACHI | S7000 | CD SEM | 150 mm | 01.05.1989 | 1 | as is where is | immediately |
98269 | HITACHI | RS 4000 | Defect Review SEM | 300 mm | 01.05.2003 | 1 | as is where is | immediately |
98270 | HITACHI | RS 4000 | Defect Review SEM | 300 mm | 01.05.2003 | 1 | as is where is | immediately |
109287 | Hitachi | SU 8010 | Ultra High Resolution Field Emission Scanning Electron Microscope | 100 mm | 01.06.2007 | 1 | as is where is | immediately |
18925 | HITACHI | 131-9008-1 | Model U-3210 / U 3410recording spectrophotometer available commands | 1 | as is where is | |||
18926 | HITACHI | 131-9007-1 | Model U3210 / U3410 Recording spectrophotometer operating procedure | 1 | as is where is | |||
109555 | Hitachi | IS3000 | DARK FIELD INSPECTION | 300 mm | 01.05.2007 | 1 | as is where is | |
109556 | Hitachi | HD2300 | STEM (Scanning Transmission Electron Microscope) | Laboratory | 01.06.2006 | 1 | as is where is | immediately |
109561 | Hitachi | S5500 | HIGH RESOLUTION INSPECTION SEM | Laboratory | 01.06.2014 | 1 | as is where is | immediately |
108027 | HITACHI | N-6000 | NANO PROBER | Laboratory | 01.09.2007 | 1 | as is where is | |
83579 | HP HEWLETT PACKARD | 9145A | PC HP HEWLETT PACHARD 9145 | 115/230V | 28.02.1990 | 1 | as is where is | immediately |
77156 | HTC | ISO1010OCRVSA | Pump flange PN 192112412 | Spares | 1 | as is where is | immediately | |
110840 | HTC | Solar | Set of spare parts | spares | 656 | as is where is | immediately | |
110324 | ICP | R48870 | Match | SPARES | 1 | inquire | ||
74236 | ICP DAS | DB-8025 | 2-port Data Acquisition Board | 01.01.2008 | 2 | as is where is | ||
77004 | ICP DAS Omega | DB-16R | Daughter Board | Spares | 01.01.2006 | 2 | as is where is | immediately |
108820 | INFICON | XTC/2 | Deposition Controller | SPARES | 1 | inquire | ||
83583 | INFICON | 850-200-G1 | LEYBOLD IG 3 VACUUM GAUGE CONTROLLER | RS232 | 1 | as is where is | immediately | |
110676 | IPEC | 472 | CMP Polishing system | 150 / 200 | 1 | as is all rebuilt | immediately | |
109184 | IPEC | 372M | Multi-Process CMP | 200mm | 1 | as is where is | ||
98465 | IPEC | 472 | CMP Polishing system | 150 MM / 200 mm | 3 | as is where is | immediately | |
98466 | IPEC | 472 | CMP | 150 mm | 2 | as is where is | immediately | |
109235 | IPEC | 472 | Multi-Process CMP | 100mm | 1 | as is where is | ||
106504 | Irvine Optical | Auto Wafer Loader Microscope Inspection | WAFER INSPECTION MICROSCOPE WITH AUTOLOADER | 200 mm | 01.06.1990 | 1 | as is where is | immediately |
108970 | IsMeca | NX16 | TEST SYSTEM | TEST | 01.06.2011 | 1 | as is where is | immediately |
108415 | Jordan Valley Semiconductors LTD | JVX 7200 | X-ray Fluorescence Spectrometer | 300 mm | 1 | as is where is | ||
84552 | JSC Systems | 510 | Controller | spares | 1 | as is where is | immediately | |
79595 | K Tech Engineering | BK04A | Blister tape applicator for microelectronic components | Assembly | 31.05.2010 | 1 | as is where is | immediately |
106897 | Karl Suss Micro Tec | MA200 | Mask Aligner with CIC1000 lamp housing -suitable for spare use | 200 mm | 1 | as is where is | immediately | |
106915 | Karl Suss Micro Tec | PA-200 | Wafer Prober Station | 01.06.2001 | 1 | as is where is | immediately | |
106916 | Karl Suss Micro Tec | PA200 | Wafer Prober Station | 01.06.2006 | 1 | as is where is | immediately | |
84388 | KEYENCE | FU-12 | PHOTO SENSOR | 4 | as is where is | immediately | ||
84392 | KEYENCE | PS SERIES | PHOTO SENSOR | 6 | as is where is | immediately | ||
84393 | KEYENCE | PS SERIES | PHOTO SENSOR | 1 | as is where is | immediately | ||
84394 | KEYENCE | PS SERIES | PHOTO SENSOR | 3 | as is where is | immediately | ||
109189 | Keysight / Agilent / Hewlett-Packard (HP) | 4073A | Parametric Tester | TEST | 1 | as is where is | ||
109190 | Keysight / Agilent / Hewlett-Packard (HP) | 4073B | Parametric Tester | TEST | 1 | as is where is | ||
108836 | KINETIC SYSTEMS | Vibraplane 1202-22-12S | Vibration Isolation Table, 47" x 36" | SOLAR | 1 | inquire | ||
108759 | KINETIC SYSTEMS | Vibraplane 1201-01-11 | Vibration Isolation Table 30"x35"x29"(h) | 1 | as is where is | |||
86672 | KLA Tencor | 710-661729-00 | PC Board, KLA 21XX | Spares | 1 | as is where is | immediately | |
95117 | KLA - Tencor | 259 | Image Digitizer Assembly | SPARES | 2 | as is where is | immediately | |
111395 | KLA -TENCOR | P-22H | Step Height Measurement Tool (Refurbished) | 1 | inquire | |||
34117 | KLA -TENCOR | 7700 | CASSETTE PLATE + PCB 8" | SPARES | 01.09.1995 | 1 | immediately | |
34126 | KLA -Tencor | 7700m (Spares) | Mirror Curved | SPARES | 1 | as is where is | immediately | |
34137 | KLA -Tencor | 7700m | p/n 199958 Rev F PCB PSF Driver SFS75 | SPARES | 1 | as is where is | immediately | |
83562 | KLA -TENCOR | 7700M (Spares) | CONCAVE MIRROR 201969 - Optical part from KLA 7700M Surfscan | Spares | 1 | as is where is | immediately | |
83624 | KLA -Tencor | 8100 (Spares) | load lock assembly for CD-SEM | SPARES | 01.06.1998 | 1 | as is where is | immediately |
108075 | KLA Tencor | 2131 | Wafer Defect Inspection | 150 mm | 1 | as is where is | ||
106574 | KLA TENCOR | SEM-3800C | Scanning Electron Microscope | N/A | 1 | as is where is | ||
34140 | KLA TENCOR | 7700M | COMPUTER HARD DISK | 1 | as is where is | immediately | ||
83555 | KLA TENCOR | 720-05721000 | CONTROL CHASSIS FOR KLA 8100 Column Control Chassis | 2 | as is where is | immediately | ||
83572 | KLA TENCOR | 720-05887-000 | MCP DETECTOR CONTROL CHASSIS | SPARES | 01.02.1997 | 1 | as is where is | immediately |
83574 | KLA TENCOR | 720-05887-000 | MCP DETECTOR CONTROL CHASSIS | 150 WATTS | 01.02.1997 | 1 | as is where is | immediately |
83577 | KLA TENCOR | 7700 M | MODEL 2214-30SLOTT | D1,D2,D3 | 01.09.1998 | 1 | as is where is | immediately |
31612 | KLA TENCOR | 8100 | PART 740 05584 000 C CONNECTOR INTERFACE | 3 | as is where is | |||
83581 | KLA TENCOR | 720-05888-000 | TFE GUN CONTROLLER CHASSIS | 230 WATTS | 01.02.1997 | 1 | as is where is | immediately |
108165 | KLA TENCOR | Surfscan 7200 | Surfscan wafer particle detection | 150 mm,200 mm | 01.06.1991 | 1 | as is where is | |
108166 | KLA TENCOR | Surfscan 7200 | Surfscan wafer particle detection | 150 mm,200 mm | 01.06.1990 | 1 | as is where is | |
83614 | KLA TENCOR | HA-200 | RADIATION POWER SYSTEMS INC. Mercury Lamp psu FOR KLA 2XX reticle inspection systems | SPARES | 1 | as is where is | immediately | |
27808 | KLA TENCOR | 720-07335-000 | ADVANTECH COMPUTER ICP-6751 FOR KLA 81XX CD SEM | Spares | 1 | as is where is | immediately | |
21670 | KLA Tencor | 213780 REV C | PCB PFE 4K MASK ASSY SFS 7500 | SPARES | 01.02.1996 | 1 | inquire | immediately |
21671 | KLA Tencor | 244143 REV B | PCB ADC PFE I/F 576 ASSY | SPARES | 01.02.1996 | 1 | inquire | immediately |
106674 | KLA TENCOR | Viper 2430 | Macro Defect Inspection | 300 mm | 01.06.2004 | 1 | as is where is | immediately |
106675 | KLA TENCOR | Viper 2435 | Macro Defect Inspection | 300 mm | 01.06.2004 | 1 | as is where is | |
106676 | KLA TENCOR | Viper 2435XP | Macro Defect Inspection | 300 mm | 01.06.2004 | 1 | as is where is | |
106677 | KLA TENCOR | CI T1X0 | package inspection system | 300 mm | 1 | as is where is | ||
106678 | KLA TENCOR | CI T1X0 | package inspection system | 300 mm | 01.06.2006 | 1 | as is where is | |
83895 | KLA TENCOR | 7700M (Spares) | PIN DIODE PRE AMP PCB | SPARES | 31.05.1992 | 1 | as is where is | immediately |
106679 | KLA TENCOR | 2132 (mainbody only) | Wafer Inspection System | 150 mm,200 mm | 01.06.1995 | 1 | as is where is | |
106681 | KLA TENCOR | P-2 | Profileometer | 150 mm,200 mm | 01.06.1996 | 1 | as is where is | |
83898 | KLA TENCOR | 7700M (Spares) | Optical sub-assembly | SPARES | 1 | as is where is | immediately | |
83899 | KLA TENCOR | 7700M (Spares) | Lens assembly | SPARES | 2 | as is where is | immediately | |
83900 | KLA TENCOR | 7700 | Mechanical part | SPARES | 1 | as is where is | immediately | |
32230 | KLA TENCOR | SFS6400 MECHANICAL CALIBRATION Document Number 238 | WAFERSURFACE ANALYSIS SYSTEM CUSTOMER MAINTENANCE REFERENCE | MANUAL | 1 | as is where is | immediately | |
32231 | KLA TENCOR | Surfscan 64X0 Calibration Procedure | Surfscan 64X0 Calibration Procedure | MANUAL | 1 | as is where is | immediately | |
32232 | KLA TENCOR | surfscan 64XX optical alignments Document Number 236 | WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE | MANUAL | 1 | as is where is | immediately | |
32233 | KLA TENCOR | SFS6x00 MECHANICAL CALIBRATION Document number 200 | WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE | MANUAL | 1 | as is where is | immediately | |
106481 | KLA Tencor | AIT I | Patterned Surface Inspection System | 150 mm/200 mm | 01.06.1995 | 1 | as is where is | immediately |
27801 | KLA- TENCOR | 720-05721-000 | Column Control Chasis for KLA 8100 cd sem | SPARES | 3 | as is where is | immediately | |
34149 | KLA-TENCOR | 242163 Rev B PCB ADC-PFE Interface S76 | PCB FOR KLA 7700M | spares | 1 | |||
34116 | Kla-Tencor | AIT 1 | Network Card PCB | SPARES | 1 | as is where is | immediately | |
84411 | KLA-Tencor | 7700M (Spares) | Electro-optical assembly for KLA Surfscan 7600 and 7700 | SPARES | 31.05.1995 | 1 | as is where is | immediately |
109059 | KLA-Tencor | 289825A | Sony XC-711 Video Camera and cable set | SPARES | 1 | as is where is | immediately | |
109598 | KLA-Tencor | Surfscan 5500 | Wafer Particle Detection | 200 mm | 1 | inquire | ||
103206 | KLA-Tencor | 5xxx Spare Part | LH Research Mighty Mite 500w Power Supply for KLA 5xxx Overlay Measurement System | Spares | 31.05.1995 | 1 | as is where is | immediately |
91435 | KLA-Tencor | AIT | Particle Review | 200 mm | 31.05.1997 | 1 | as is where is | |
53035 | KLA-Tencor | 2132 (8 inch Wafer Chuck Assembly) | Ceramic Wafer chuck, 8" | spares | 1 | as is where is | immediately | |
53036 | KLA-Tencor | Compumotor M575L11 | Stepping motor drive | spares | 1 | as is where is | immediately | |
109613 | KLA-Tencor | AIT | Surfscan wafer particle detection system | 150 mm | 1 | inquire | ||
109107 | KLA-Tencor | PROMETRIX FT-650 | WAFER FILM THICKNESS MEASUREMENT SYSTEM | 01.06.1991 | 1 | as is where is | immediately | |
76358 | KLA-Tencor | 710-039524-01, rev C | Alignment Processor 2 , 210e series PCB | Spares | 1 | as is where is | immediately | |
91464 | KLA-Tencor | PROMETRIX FT750 | Film Thickness Measurement | 200 mm | 1 | as is where is | immediately | |
91466 | KLA-Tencor | PROMETRIX FT750 | Film Thickness Measurement | 1 | as is where is | |||
34125 | KLA-Tencor | 7700m (spares) | Mirror Assy Flat | spares | 1 | as is where is | immediately | |
34127 | KLA-Tencor | 7700m (Spares) | p/n 186392A PCB Controller Handler | spares | 1 | as is where is | immediately | |
34130 | KLA-tencor | 7700m (Spares) | 18458 Rev B $ CH Motor Control | SPARES | 1 | as is where is | immediately | |
84054 | KLA-TENCOR | 8100 (Spares) | Motorized slit assembly for CD SEM | Spares | 2 | as is where is | immediately | |
34134 | KLA-tencor | 7700m (Spares) | 210617 rev B PCB Filter Optical | spares | 1 | as is where is | immediately | |
34136 | KLA-Tencor | 7700m (Spares) | p/n 099660 Handler back plane PCB | SPARES | 1 | as is where is | immediately | |
4958 | KLA-Tencor | Roll-a-Lift | KIT 2135,2138,2230 MOVE | FACILITIES | 1 | as is where is | immediately | |
4959 | KLA-Tencor | 655-650504-00 | 8 INCH CHUCK ASSY FOR KLA 2132 | 200 mm | 1 | as is where is | immediately | |
83810 | KLA-Tencor | 7700M (Spares) | leadscrew and stepper motor for KLA Surscan 7700m, 7600 microscope | spares | 31.05.1997 | 1 | as is where is | immediately |
34148 | KLA-Tencor | 7700m (Spares) | p/n 210595 Rev B Optical Filter PCB | spares | 1 | as is where is | immediately | |
34150 | KLA-Tencor | 7700m | 242163 Rev B PCB ADC-PFE Interface S76 | spares | 1 | as is where is | immediately | |
34152 | KLA-Tencor | 7700m (spares) | p/n 213780 Rev C PCB MASK SFS | spares | 1 | as is where is | immediately | |
34153 | KLA-Tencor | 7700m (spares) | Fresnel Lens / Mirror | SPARES | 1 | as is where is | immediately | |
34165 | KLA-TENCOR | 3005503 | OPTICAL ASSY for kla 7700 and 7600 surfscans | Spares | 1 | as is where is | immediately | |
84086 | KLA-TENCOR | ASSY.CBL.GND | EATHING STRAP | 01.06.2000 | 14 | as is where is | immediately | |
34166 | KLA-TENCOR | AIT 1 (SPARES) | Lens Assembly in transport box | SPARES | 1 | as is where is | immediately | |
84087 | KLA-TENCOR | 7700M (Spares) | Mirror assembly for KLA 7700 m surfscan | Spares | 1 | as is where is | immediately | |
84088 | KLA-TENCOR | 7700M (Spares) | Optical Lens assembly from a KLA 7700 M Surfscan | Spares | 1 | as is where is | immediately | |
84089 | KLA-TENCOR | 7600M | ELECTRO-OPTICAL ACTUATOR ASSY | Spares | 28.02.1998 | 1 | as is where is | immediately |
84091 | KLA-TENCOR | 113387 | 4-CHANNEL PWM MOTOR DRIVE ASSY PCB FOR KLA 7XXX SURFSCAN, P2 and P20 profilers | Spares | 31.05.1995 | 1 | as is where is | immediately |
84092 | KLA-TENCOR | 655-6500504-00 | CERAMIC CHUCK 200 MM(8*),2132 | 200 mm | 31.05.1998 | 1 | as is where is | immediately |
83837 | KLA-TENCOR | 7700M (Spares) | EMO Button for KLA 7700M | SPARES | 1 | as is where is | immediately | |
84093 | KLA-TENCOR | 665-037138-00 | MICROSCOPE MASK FOR KLA 2XX RETICLE INSPECTION SYSTEM | Spares | 1 | as is where is | immediately | |
31613 | KLA-Tencor | 8100 (Spares) | Bracked Lift Keyboard KLA Part 740-03393-000 | spares | 5 | as is where is | immediately | |
110725 | KLA-Tencor | ARCHER 5 | Optical Overlay measurement system | 150-200 mm | 01.06.2001 | 1 | as is where is | immediately |
110726 | KLA-Tencor | OmniMap AutoRS75TC | Wafer Resistivity Measurement | 150-200 mm | 01.06.1996 | 1 | as is where is | immediately |
109191 | KLA-Tencor | 2820 | Wafer Inspection Equipment | 300mm | 1 | as is where is | ||
110727 | KLA-Tencor | UV1080 | Thin film measurement system | 150-200 mm | 01.06.2000 | 1 | as is where is | immediately |
109192 | KLA-Tencor | 2820 | Wafer Inspection Equipment | 300mm | 1 | as is where is | ||
109193 | KLA-Tencor | 2830 | Brightfield Inspection | 300mm | 1 | as is where is | ||
105866 | KLA-Tencor | Surfscan 7700m | Keyboard Assy | 1 | as is where is | immediately | ||
109194 | KLA-Tencor | AIT UV | Darkfield Inspection | 300mm | 1 | as is where is | ||
109195 | KLA-Tencor | ASET-F5x | Film Thickness Measurement System | 300mm | 1 | as is where is | ||
109196 | KLA-Tencor | Puma 9130 | Darkfield Inspection | 300mm | 1 | as is where is | ||
109197 | KLA-Tencor | Puma 9130 | Darkfield Inspection | 300mm | 1 | as is where is | ||
27790 | KLA-Tencor | 259 (Spare parts) | PCBs for reticle inspection system | 1 | as is where is | immediately | ||
109198 | KLA-Tencor | Surfscan SP2 | Wafer Particle Measurement System | 300 mm | 01.12.2010 | 1 | as is where is | immediately |
74643 | KLA-Tencor | 710-013838-00 Rev L | PCB Universal Video Mux for KLA 2xx reticle inspection systems | spares | 01.06.1993 | 1 | as is where is | immediately |
1691 | KLA-TENCOR | 259 (spare parts) | Reticle Inspection - SPARE PARTS | up to 7 inch | 01.12.1991 | 1 | inquire | immediately |
27806 | KLA-Tencor | TFE Gun Controller | Gun Controller Chassis Part No 720-05888-000 | Spares | 2 | as is where is | immediately | |
21667 | KLA-Tencor | JDS-Uniphase 2214-30 SLQ TT | LASER FOR KLA 7700 SURFSCAN | SPARES | 31.01.1998 | 1 | inquire | immediately |
83621 | KLA-Tencor | 8100 (Spares) | Loadlock assembly for CD-SEM | 0,4-0,7 MPa | 31.05.1998 | 3 | as is where is | immediately |
83622 | KLA-Tencor | 8100 (Spares) | Load-lock assembly for CD SEM | SWAGELOK 152086 | 01.06.2000 | 1 | as is where is | immediately |
18598 | KLA-TENCOR | 5xxx Spare Parts | Set of Spare Parts from a KLA 5015 | 150 mm | 01.12.1990 | 1 | as is where is | immediately |
83623 | KLA-Tencor | 8100 (Spares) | Load-lock assembly for CD SEM | spares | 01.06.2000 | 1 | as is where is | immediately |
18599 | KLA-Tencor | 710-401249-01 Rev F | DRIVER BOARD for KLA 5xxx | SPARES | 31.05.1992 | 1 | as is where is | immediately |
18600 | KLA-Tencor | 710-401249-01 Rev F | Driver Board for KLA 5xxx | Spares | 31.05.1992 | 1 | as is where is | immediately |
18602 | KLA-Tencor | 750-40426.. 5xxx Spare Part | BIT 3 COMPUTER COP for 5xxx Spare Part | Spares | 31.05.1992 | 1 | as is where is | immediately |
18603 | KLA-Tencor | 710-401536-00 FOR kla 5XXX | ASSY NO 401536 00 ENCODER INTERFACE | Spares | 31.05.1992 | 1 | as is where is | immediately |
18604 | KLA-Tencor | 710-401249-00 REV E for kla 5xxx | Driver Board for KLA 5xxx | Spares | 31.05.1992 | 1 | as is where is | immediately |
18605 | KLA-Tencor | 710-404146-00 REV A for KLA 5XXX | ASSY BOARD FOR KLA 5XXX | Spares | 31.05.1992 | 1 | as is where is | immediately |
83630 | KLA-TENCOR | 8100 (Spares) | Load-lock assembly for CD SEM | spares | 01.12.2000 | 1 | as is where is | immediately |
18606 | KLA-Tencor | 750-400159-00 REV A for KLA 5xxx | MATROX VIP 1024 for a KLA 5XXX overlay system | Spares | 31.05.1992 | 1 | as is where is | immediately |
18607 | KLA-Tencor | 730-400083-00 REV G for a KLA 5xxx | PZT CONTROLLER for a KLA 5xxx system | Spares | 31.05.1992 | 1 | as is where is | immediately |
18608 | KLA-Tencor | 712-404056-00 Rev B for a KLA 5xxx | ASSY BOARD for a KLA 5xxx overlay system | Rev. B | 31.05.1992 | 1 | as is where is | immediately |
18609 | KLA-Tencor | 710-400412-00 Rev K | PCB for a KLA 5xxx system | sp | 31.05.1992 | 1 | as is where is | immediately |
18610 | KLA-Tencor | 712-404056-00 Rev B for a KLA 5xxx | PCB for a KLA 5xxx system | Spares | 31.05.1992 | 1 | as is where is | immediately |
18611 | KLA-Tencor | 750-400339-00 Rev H from a KLA 5xxx | PCB for a KLA 5xxx system | Spares | 01.05.1992 | 1 | as is where is | immediately |
18612 | KLA-Tencor | 073-401-320 for a kla 5xxx | AIRLOK PCB for a KLA 5xxx system | SPARES | 31.05.1992 | 1 | as is where is | immediately |
18871 | KLA-TENCOR | 546860-27 | Operation manual | 1 | as is where is | |||
52151 | KLA-Tencor | Hamamatsu R1924A | Photomultiplier | spares | 01.03.2007 | 1 | as is where is | immediately |
83896 | KLA-TENCOR | Pittman 9433F528 | Servo Drive motor for KLA 7700 Surfscan | SPARES | 1 | as is where is | immediately | |
18872 | KLA-TENCOR | 563234-27 | Reference manual | 1 | as is where is | |||
83897 | KLA-Tencor | 7700M (Spares) | 8 inch ceramic chuck table for KLA 7700M | SPARES | 01.08.1995 | 1 | as is where is | immediately |
18873 | KLA-TENCOR | 990-039410-00 | 210 e-series theory | 1 | as is where is | |||
84409 | KLA-Tencor | AIT-1 SHIPPING KIT | AIT-1 SHIPPING KIT | SPARES | 1 | as is where is | immediately | |
18874 | KLA-TENCOR | 905-664046-000 | 25x2 analysis workstation | 1 | as is where is | |||
84410 | KLA-TENCOR | 7700M | SENSOR OPTICAL | SPARES | 1 | as is where is | immediately | |
83643 | KLA-Tencor | RIBBON CABLE | SPARES | 2 | as is where is | immediately | ||
18875 | KLA-TENCOR | 563226-27 | Software version 5.0 manual | 1 | as is where is | |||
18876 | KLA-TENCOR | 546879-27 | Software version 4.1 manual | 1 | as is where is | |||
83645 | KLA-Tencor | 2xx (SPARES) | Control Paddles for KLA 2xx reticle inspection system | Spares | 01.06.1992 | 1 | as is where is | immediately |
83901 | KLA-Tencor | 655-03737-00 Rev XA | Mechanical part for a KLA 7700 M (Surfscan) | SPARES | 1 | as is where is | immediately | |
83902 | KLA-Tencor | 5xxx Spare Part | Lambda Electronics LFS-47-48 REGULATED POWER SUPPLY | Spares | 1 | as is where is | immediately | |
18622 | KLA-Tencor | 750-404260 for KLA 5XXX | BIT 3 COMPUTER COP for 5xxx Spare Part | Spares | 31.05.1992 | 1 | as is where is | immediately |
103366 | KLA-TENCOR | 7700M (Spares) | Robot Arm, for up to 8 inch wafers, for KLA 7700 M | Spares | 31.05.1993 | 1 | as is where is | immediately |
18632 | KLA-Tencor | 073-401-320 for a kla 5xxx | AIRLOK PCB for a KLA 5xxx system | Spares | 31.05.1992 | 1 | as is where is | immediately |
18634 | KLA-Tencor | POWER SUPPLY LAMBDA | Rev. A | 01.06.1992 | 1 | as is where is | ||
18635 | KLA-Tencor | 851391-101 | LH RESEARCH | REV.B | 01.06.1992 | 1 | as is where is | |
83918 | KLA-TENCOR | 7700M (Spares) | Adjustable Opto Mechanical assembly for KLA 7700 Surfscan | SPARES | 1 | as is where is | immediately | |
71632 | KLA-TENCOR | 2122 | Brightfield Wafer Defect Inspection System | 200 mm | 01.04.1996 | 1 | as is where is | immediately |
108760 | KLA-Tencor | Surfscan 4500 | Wafer Particle Inspection System | 2 to 6 inch | 01.05.1986 | 1 | as is where is | immediately |
83929 | KLA-TENCOR | 720-02847-000 | MCA Module for KLA 81xx CD SEM | spares | 31.05.1998 | 1 | as is where is | immediately |
83930 | KLA-TENCOR | 720-02964-000B | PICOAMP II for KLA 81xx CD SEM | SPARES | 2 | as is where is | immediately | |
83932 | KLA-TENCOR | 195430 rev B | Detector Assembly for a KLA 7700M Surfscan | SPARES | 30.11.1985 | 1 | as is where is | immediately |
96998 | KLA-Tencor | Surfscan AIT | Patterned Wafer Inspection | 200 mm | 01.05.1997 | 1 | as is where is | immediately |
53227 | KLA-Tencor | 251739 | CH3 PMT OPTICS ASSY AIT2 | SPARES | 01.12.1989 | 1 | as is where is | immediately |
84215 | KLA-TENCOR | 7700M (Spares) | AT GPIB IEE 488.2 Interface PCB for a KLA 7700 M | Spares | 01.05.1993 | 1 | as is where is | immediately |
84217 | KLA-TENCOR | 740-210171-00 | Mask Holder for 5 inch x 0.090 thickness masks, with compensation glass, KLA 2XX | spares | 01.05.1992 | 1 | as is where is | immediately |
84219 | KLA-TENCOR | 740-210171-00 Rev C OHR | Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system | spares | 01.05.1992 | 1 | as is where is | immediately |
84220 | KLA-TENCOR | 253537 Rev A | Microscope Distribution PCB, for Surfscan 7600 and 7700 series | Spares | 1 | as is where is | immediately | |
35971 | KLA-Tencor Corp. | 720-05888-000 | Electron gun controller for KLA 8100 | spares | 01.06.1998 | 2 | as is where is | immediately |
106689 | KORNIC | KORONARTP1200+ | RTP | 300 mm | 01.06.2010 | 1 | as is where is | |
106690 | KORNIC | RTP-600M | RTP, Wafer Diffusion | 100 mm, 150 mm | 1 | as is where is | ||
106895 | KTC | BT-30 | Die and ball shear tester | 1 | as is where is | |||
108761 | LABCONCO | Protector | Laboratory Fume Hood and Cabinet with Sink | Laboratory | 1 | as is where is | immediately | |
106240 | Lam Research | 853-011168-002 Rev C | Surge Protector, Gap Drive Motor Assy | Spares | 01.07.1996 | 1 | as is where is | immediately |
108038 | LAM RESEARCH | Spare Parts | Various Spare Parts for sale | Spares | 1 | as is where is | immediately | |
111370 | LAM Research | 2300 Exelan Flex 45 | Etcher Asher | 12" / 300mm ICP | 1 | as is where is | immediately | |
111371 | LAM Research | 2300 Strip 45 | 1 | as is where is | immediately | |||
108572 | LAM RESEARCH | INOVA | PVD | 300mm | 01.06.2004 | 1 | as is where is | immediately |
108573 | LAM RESEARCH | INOVA | PVD | 300mm | 01.06.2010 | 1 | as is where is | immediately |
108574 | LAM RESEARCH | STRATA-3 | CVD | 300mm | 01.06.2021 | 1 | as is where is | immediately |
108577 | LAM RESEARCH | Vector Express | CVD | 300 mm | 01.06.2003 | 1 | as is where is | immediately |
100919 | LAM Research | ALTUS | CVD System | 300 mm | 31.05.2001 | 1 | as is where is | |
100920 | LAM Research | ALTUS | CVD System | 300 mm | 31.05.2010 | 1 | as is where is | |
100921 | LAM Research | ALTUS | CVD System | 300 mm | 31.05.2003 | 1 | as is where is | |
103538 | LAM Research | INOVA Concept 3 | NExT | 300 mm | 31.05.2005 | 1 | as is where is | |
105861 | Lam Research | 853-495477-001 Rev B | Cable | Spares | 30.11.2000 | 4 | as is where is | immediately |
105862 | Lam Research | 853-017410-003 REV A | Assy. cable.LWR match,Pk det. | Spares | 30.11.2000 | 5 | as is where is | immediately |
108167 | LAM Research | 2300 MWAVE STRPR | Chamber only | 300 mm | 01.06.2012 | 1 | as is where is | |
108168 | LAM Research | FLEX_GX_E6 | Oxide ETCH | 300 mm | 01.06.2008 | 1 | as is where is | |
110728 | LAM Research | 2300 | Dry etch cluster tool Mainframe without chambers | 200 MM | 01.06.2008 | 1 | as is where is | immediately |
109206 | LAM Research | 2300 Coronus | Wafer Edge Cleaning - Plasma | 300mm | 1 | as is where is | ||
110998 | Lam Research | 857-073710-002 | KIT,SHIM,CLAMP - FLEX45/DS/DX | Spares | 5 | inquire | ||
109207 | LAM Research | 2300 Exelan Flex FX - Chamber Only | Dielectric Etch | 300mm | 1 | as is where is | ||
110999 | Lam Research | 857-027108-001 | KIT,CHOKE RING - FLEX45/DS/DX | Spares | 5 | inquire | ||
109208 | LAM Research | 2300 Versys Metal | Metal Etch | 300mm | 1 | as is where is | ||
111000 | Lam Research | 857-027108-100 | KIT,CHOKE RING,TCP TO TP FLD SERIES - FLEX ES/EX+ | Spares | 5 | inquire | ||
84377 | Lam Research | 810-06526-000 | ASSY.CBL.EF CONTROL.SNIPER | Spares | 31.05.2001 | 1 | as is where is | immediately |
109209 | LAM Research | 2300e4 Exelan Flex DX | Dielectric Etch | 300mm | 1 | as is where is | ||
111001 | Lam Research | 857-120208-010 | KIT GSKT THRM TP TO CHOKE - FLEX FX | Spares | 5 | inquire | ||
109210 | LAM Research | 2300e4 Exelan Flex ES | Dielectric Etch | 300mm | 1 | as is where is | ||
111002 | Lam Research | 857-120209-009 | KIT GSKT THRM CHOKE TO HTR - FLEX FX | Spares | 5 | inquire | ||
111003 | Lam Research | 857-120209-305 | KIT GSKT THRM CHOKE - FLEX HX | Spares | 5 | inquire | immediately | |
111004 | Lam Research | 857-120210-008 | KIT GSKT THRM HTR TO BP - FLEX FX | Spares | 5 | inquire | immediately | |
111005 | Lam Research | 857-120210-302 | KIT GSKT THRM CHOKE - FLEX HX | Spares | 5 | inquire | immediately | |
111006 | Lam Research | 713-066269-002 | FIT RING GAST GUARD ABE - ABE | Spares | 5 | inquire | immediately | |
111007 | Lam Research | 713-069935-003 | GSKT GUARD, OUTER ELECTD(TCP) - FLEX ES/EX+ | Spares | 5 | inquire | immediately | |
108448 | LAM Research | 2300 Coronus | Wafer Edge Cleaning - Plasma | 300 mm | 1 | as is where is | ||
108704 | Lam Research | 490 Autoetch | Polysilicon Dry Etcher | 150 mm | 01.06.1990 | 3 | inquire | immediately |
111008 | Lam Research | 713-072792-003 | GSKT, GUARD, OUTER ELECTD - FLEX45/DS/DX | Spares | 5 | inquire | immediately | |
108449 | LAM Research | 2300 Exelan Flex | Dielectric Etch | 300 mm | 6 | as is where is | ||
111009 | Lam Research | 713-073439-010 | RING, HER, FLEX45 - FLEX45 | Spares | 5 | inquire | immediately | |
111010 | Lam Research | 410-01754-026-RW | KIT, THERMAL SHIM, CIP1 - CIP1 | Spares | 5 | inquire | immediately | |
111011 | Lam Research | 714-072727-048 | GASKET(Q310-575924), FLEX/DS - FLEX45/DD/DS/DX | Spares | 5 | inquire | immediately | |
111012 | Lam Research | 857-065064-004 | KIT, GASKET, ABE - ABE | Spares | 5 | inquire | immediately | |
111013 | Lam Research | 857-072806-005 | KIT, GASKET, ELCTD - FLEX45/DS/DX | Spares | 5 | inquire | immediately | |
111014 | Lam Research | 857-073299-001 | KIT, GASKET, TOP ELCTD - FLEX45/DS/DX | Spares | 5 | inquire | 6 months | |
111015 | Lam Research | 857-073299-002 | TCP Q-PAD KIT - FLEX ES/EX+ | Spares | 5 | inquire | immediately | |
102568 | LAM Research | TORUS 300K | Dry Etch | 2 | inquire | |||
111016 | Lam Research | 857-085550-026 | ELECTRODE GASKET KIT FOR FLEX EX+ | Spares | 5 | inquire | immediately | |
102569 | LAM Research | TORUS 300S | Dry Etch | 2 | inquire | |||
108457 | LAM Research | 2300e4 Exelan Flex GXE | Dielectric Etch | 300 mm | 1 | as is where is | ||
111017 | Lam Research | 857-085550-046 | KIT GSKT ELECTD - FLEX GX/GXE | Spares | 5 | inquire | immediately | |
111018 | Lam Research | 857-085550-822 | KIT GSKT ELECTD - FLEX FX | Spares | 5 | inquire | immediately | |
111019 | Lam Research | 719-078253-060 | RING GASKET, GEL, CER 509 - FLEX FX | Spares | 5 | inquire | immediately | |
111020 | Lam Research | 719-078253-103 | PKG, GASKET, GEL, CER, WIDE - FLEX FXP | Spares | 5 | inquire | immediately | |
111021 | Lam Research | 713-072728-130 | RING, HTSK, ESC/CPLNG, 300MM, SP - FLEX EX | Spares | 5 | inquire | immediately | |
111022 | Lam Research | 714-072728-117 | HEAT SINK R - FLEX EX+ | Spares | 5 | inquire | immediately | |
111023 | Lam Research | 839-086924-050/839-086924-021 | INNER STUD SOCKETS - Flex DX/FX | Spares | 5 | inquire | immediately | |
111024 | Lam Research | 839-086924-051/839-086924-025 | OUTER STUD SOCKETS - Flex DX/FX | Spares | 5 | inquire | ||
111025 | Lam Research | 839-086924-052 | C-Shroud ring STUD SOCKETS - Flex DX/FX | Spares | 5 | inquire | immediately | |
111026 | Lam Research | 716-069688-005 | ELCTD,INR,SI,300MM - 2300 Flex | Spares | 5 | inquire | ||
111027 | Lam Research | 716-082039-001 | ELCTD,OUTER, .18 STEP, 300MM - 2300 Flex | Spares | 5 | inquire | ||
111028 | Lam Research | 716-023013-037 | RING,HE, 38X ESC,RND, SGL XTL, 300MM - 2300 Flex | Spares | 5 | inquire | ||
111029 | Lam Research | 716-017112-533 | RING,WAP,17.07X1.4THK - 2300 Flex | Spares | 5 | inquire | ||
111030 | Lam Research | 716-014843-303 | RING,WAP,QTZ,GENERIC,17.07ID,300MM - 2300 Flex | Spares | 5 | inquire | ||
111031 | Lam Research | 716-013402-008 | COV,H/E RING,QTZ - 2300 Flex | Spares | 5 | inquire | ||
91320 | LAM Research | EXELAN 2300 | OXIDE ETCH | 300 mm | 1 | as is where is | ||
111032 | Lam Research | 716-018468-092 | RING,COVER,OUTER,GND - 2300 Flex | Spares | 5 | inquire | ||
111033 | Lam Research | 716-014843-360 | RING,WAP,QTZ,GENERIC,17.07ID,300 - 2300 Flex | Spares | 5 | inquire | ||
111034 | Lam Research | 716-013402-063 | COV,H/E RING,QTZ - 2300 Flex | Spares | 5 | inquire | ||
111035 | Lam Research | 716-801667-003 | WIN, QTZ - 2300 Flex | Spares | 5 | inquire | ||
111036 | Lam Research | 716-801568-002 | WIN, QTZ - 2300 Flex | Spares | 5 | inquire | ||
111037 | Lam Research | 716-012640-011 | WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Star-T | Spares | 5 | inquire | ||
111038 | Lam Research | 716-012639-024 | NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Star-T | Spares | 5 | inquire | ||
111039 | Lam Research | 716-026652-902 | RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T | Spares | 5 | inquire | ||
111040 | Lam Research | 716-026652-922 | RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T | Spares | 5 | inquire | ||
111041 | Lam Research | 716-031257-531 | RING,EDGE,TOP,QTZ,EBP,300MM - 2300 Star-T | Spares | 5 | inquire | ||
111042 | Lam Research | 716-031257-561 | RING,EDGE,TOP,QTZ,EBP,300MM - 2300 Star-T | Spares | 5 | inquire | ||
111043 | Lam Research | 716-008608-001 | WDO,SAPPHIRE,25MM X.087 - 2300 Star-T | Spares | 5 | inquire | ||
111044 | Lam Research | 716-801568-002 | WIN, QTZ - 2300 Star-T | Spares | 5 | inquire | ||
111045 | Lam Research | 716-012640-012 | WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Kiyo | Spares | 5 | inquire | ||
111046 | Lam Research | 716-012639-024 | NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Kiyo | Spares | 5 | inquire | immediately | |
111047 | Lam Research | 716-026652-002 | RING,EDGE,BOT,200-300MM - 2300 Kiyo | Spares | 5 | inquire | ||
111048 | Lam Research | 716-026652-011 | RING,EDGE,BOT,200-300MM - 2300 Kiyo | Spares | 5 | inquire | ||
111049 | Lam Research | 716-031257-534 | RING,EDGE, TOP, EBP,2300 - 2300 Kiyo | Spares | 5 | inquire | ||
111050 | Lam Research | 716-031257-564 | RING,EDGE, TOP, EBP,300MM - 2300 Kiyo | Spares | 5 | inquire | ||
111051 | Lam Research | 716-008608-001 | WDO,SAPPHIRE,25MM X.087 - 2300 Kiyo | Spares | 5 | inquire | ||
111052 | Lam Research | 716-801568-002 | WIN, QTZ - 2300 Kiyo | Spares | 5 | inquire | ||
111053 | Lam Research | 716-801568-002 | WIN, QTZ - 2300 Versys Metal | Spares | 5 | inquire | ||
18898 | LAM RESEARCH | 406-240121-008 | TCP 9600SE Drawings and schematics Vol 3 | 1 | as is where is | |||
18899 | LAM RESEARCH | 406-240121-005 | TCP 9600SE Maintenance procedure | 1 | as is where is | |||
18900 | LAM RESEARCH | 406-240122-007 | TCP 9600SE Drawing and schematics Vol 2 | 1 | as is where is | |||
18901 | LAM RESEARCH | 406-240122-006 | TCP 9600SE Drawing and schematics Vol 1 | 1 | as is where is | |||
18902 | LAM RESEARCH | 406-240121-006 | TCP 9600SE Drawing and schematics Vol 1 | 1 | as is where is | |||
18903 | LAM RESEARCH | 406-240121-007 | TCP 9600SE Drawing and schematics Vol 2 | 1 | as is where is | |||
18904 | LAM RESEARCH | 406-240121-008 | TCP 9600SE Manual set addendum | 1 | as is where is | |||
18905 | LAM RESEARCH | 409-240164-001 | TCP rainbow e oxide 9500 | 1 | as is where is | |||
18906 | LAM RESEARCH | 406-240040-002 | TCP Rainbow 4500 system descriptions | 1 | as is where is | |||
18907 | LAM RESEARCH | 406-240203-074 | TPC 9400/9600 PTX alliance installation guide | 1 | as is where is | |||
18908 | LAM RESEARCH | 406-240101-001 | TCP 9400 SE installations and start up | 1 | as is where is | |||
18909 | LAM RESEARCH | 407-240100-001 | TCP 9400 illustrated part identifier | 1 | as is where is | |||
18910 | LAM RESEARCH | 406-240121-003 | TCP 9600 SE system operation | 1 | as is where is | |||
18911 | LAM RESEARCH | 406-240121-002 | TCP 9600 SE system description | 1 | as is where is | |||
18912 | LAM RESEARCH | 406-240101-005 | TCP 9400 SE maintenance classic | 1 | as is where is | |||
18913 | LAM RESEARCH | 406-240203-074 | TCP 9400/9600 PTX alliance installation guide | 1 | as is where is | immediately | ||
18914 | LAM RESEARCH | 409-240163-001 | Rainbow TCP Envision LAM RESEARCH SECS and SECS/GEM interface | 1 | as is where is | |||
18915 | LAM RESEARCH | 406-240100-005 | TCP 9400 maintenance procedure | 1 | as is where is | |||
18916 | LAM RESEARCH | 406-240101-008 | Drawings and schematics Vol3 | 1 | as is where is | |||
18917 | LAM RESEARCH | 406-240101-006 | Drawings and schematics Vol3 | 2 | as is where is | |||
98277 | LAM Research | 2300 MWAVE STRPR | Asher/Stripper | 300 mm | 31.05.2012 | 1 | as is where is | |
18918 | LAM RESEARCH | 406-240101-005 | Drawings and schematics Vol1 | 1 | as is where is | |||
18919 | LAM RESEARCH | 406-240101-007 | Drawings and schematics Vol2 | 2 | as is where is | |||
98279 | LAM Research | FLEX GX E6 | OXIDE ETCH | 300 mm | 31.05.2008 | 1 | as is where is | |
18920 | LAM RESEARCH | 406-240122-009 | Drawings and schematics Vol4 | 1 | as is where is | |||
98280 | LAM Research | FLEX GX E6 | OXIDE ETCH | 300 mm | 31.05.2008 | 1 | as is where is | |
111340 | LAM RESEARCH | CONCEPT 3 (Parts) | Preclean module | 300 mm | 01.06.2010 | 2 | as is where is | immediately |
18927 | LAM RESEARCH | 406-240122-008 | Drawing and schematics Vol3 | 1 | as is where is | |||
18928 | LAM RESEARCH | 406-240122-010 | Drawing and schematics Vol5 | 1 | as is where is | |||
111364 | LAM RESEARCH /ONTRACK | DSS 200 | Scrubber | Series 1 | 1 | as is where is | immediately | |
106820 | Lam Research Ontrak | Synergy | Post CMP cleaner with HEPA mini-environment | 200 mm | 1 | as is where is | immediately | |
106866 | Lam Research ® | Jetstream MZI | Gas Box, new and unused, p/n 571-065780-91534F | SPARES | 1 | as is where is | immediately | |
94475 | LASERTEC | BGM300 | Wafer Surface Analyzing and VIsualization System | 200 mm | 31.05.2008 | 1 | as is where is | |
106702 | LASERTEC | BGM300 | Wafer Inspection System | 300 mm | 1 | as is where is | ||
11234 | LEE VAC | Gate valves | SPARES | 3 | as is where is | immediately | ||
111379 | Leica | INS3000 | Defect Inspection | 1 | as is where is | immediately | ||
111399 | Leica | M165C | Reflected light and transmitted light microscope | Assembly | 1 | as is where is | immediately | |
108171 | LEICA | INM100 | Microscope | 1 | as is where is | |||
108172 | LEICA | INM100 | Microscope | 1 | as is where is | |||
108173 | LEICA | INM100 | Microscope | 1 | as is where is | |||
108174 | LEICA | KENSINGTON 300901 | Wafer Inspection Microscope | 1 | as is where is | |||
108175 | LEICA | KENSINGTON 300901 | Wafer Inspection Microscope | 1 | as is where is | |||
108176 | LEICA | KENSINGTON 300901 | Wafer Inspection Microscope | 1 | as is where is | |||
108177 | LEICA | KENSINGTON 300901 | Wafer Inspection Microscope | 1 | as is where is | |||
108178 | LEICA | Polyvar SC | POLYVAR SCOPE | 1 | as is where is | |||
108179 | LEICA | Reichert POLYVAR SC | Wafer Inspection Microscope | 200 mm/300 mm | 01.06.2002 | 1 | as is where is | |
108180 | LEICA | Reichert-Jung, Kensington 300901 | Wafer Inspection Microscope | 1 | as is where is | |||
108181 | LEICA | Reichert-Jung, Kensington 300901 | Wafer Inspection Microscope | 1 | as is where is | |||
108182 | LEICA | Reichert-Jung, Kensington 300901 | Wafer Inspection Microscope | 1 | as is where is | |||
108183 | LEICA | Reichert-Jung, Kensington 300901 | Wafer Inspection Microscope | 1 | as is where is | |||
109211 | Leica | INS3300 | Macro-Defect | 300mm | 1 | as is where is | ||
109212 | Leica | INS3300 | Macro-Defect | 300mm | 1 | as is where is | ||
109213 | Leica | INS3300 | Macro-Defect | 300mm | 1 | as is where is | ||
106485 | Leica | LEICA INM20 | Microscope inspection station | 200 mm | 01.06.1995 | 1 | as is where is | immediately |
106486 | Lintec | RAD-2000F / 8 | LINTEC UV CURE TOOL | 150 mm/200 mm | 01.07.2018 | 1 | as is where is | immediately |
106487 | Lintec | RAD-2500 | Lintec Wafter Mounter RAD2500 | 150 mm/200 mm | 1 | as is where is | immediately | |
106488 | Lintec | RAD-2500M/8 | Wafer mounter | 150 mm/200 mm | 01.06.2001 | 1 | as is where is | immediately |
94476 | LUMONICS | SUPERCLEAN | Laser Marking System | 200 mm | 1 | as is where is | ||
77092 | MAC | 225B-111BAAA | Pneumatic solenoid valve | 1 | as is where is | |||
108844 | MACTRONIX | UKA-625 | Eureka III Jr. Manual Wafer Transfer | 1 | inquire | |||
108845 | MACTRONIX | UKA-825 | Wafer Transfer Tool - Eureka Jr. for 200 mm Wafers | 200 MM | 1 | inquire | ||
108764 | MACTRONIX | UKA-650 | Wafer Transfer Tool - Eureka III Sr. for 150mm Wafers | 150 mm | 1 | as is where is | ||
110741 | March | AP-1000 | Plasma Cleaning System | Assembly | 01.06.2000 | 2 | as is where is | immediately |
4007 | MDC (Materials Development Corp.) | DUO CHUCK CSM16 | CV Measurement system | 150 mm | 31.05.1995 | 1 | inquire | immediately |
108284 | Mechatronic | mWS200/300t | Wafer sorter with 4 load ports | 300 mm | 01.06.2012 | 1 | as is where is | immediately |
106821 | MECS | UTC 820Z | atmospheric wafer handling robot( Hitachi CD-SEM) | 200 mm | 1 | as is where is | immediately | |
106822 | MECS | UTW-FS5500S | Atmospheric wafer handling robot | NA | 1 | as is where is | immediately | |
98474 | MECS | OF 250 | wafer pre-aligner(Hitachi CDSEM 8820/8840) | 200mm | 3 | as is where is | immediately | |
98476 | MECS | UTC 801P | atmospheric wafer handling robot( WJ-1000) | 200mm | 2 | as is where is | immediately | |
98477 | MECS | UTX 1100 | atmospheric wafer handling robot(ASM eagle-10) | 200 mm | 2 | as is where is | immediately | |
98478 | MECS | UTX 1200 | Atmospheric wafer handling robot(ASM eagle-10) | 200 mm | 1 | as is where is | immediately | |
110789 | Mentor Graphics | Veloce 2 Quattro | Hardware Emulation System | 1 | as is where is | immediately | ||
108766 | Micro Automation | 2066 | Mask and wafer cleaner | 1 | as is where is | immediately | ||
108185 | Micro Vu | Vertex 420 | Precision coordinate measurement system | assembly | 1 | as is where is | ||
108846 | MICROAUTOMATION | M-1100 | Wafer Dicing Saw, for up to 6" Wafers | 150 MM | 1 | inquire | ||
71902 | Microcontrol | MWE Plus | UV Wafer Eraser with cassette loading | 200 mm , 150 mm, 125 mm | 01.05.2000 | 1 | as is where is | immediately |
108580 | Micromanipulator | Probe Station 1 | Manual Prober with hot and cold chuck | 200 mm | 1 | as is where is | immediately | |
84374 | MICRON | 256 MB | SYNCH | 1 | as is where is | immediately | ||
108847 | MICRONICS JAPAN CO. | MP-10 | Manual Probe Station with B&L StereoZoom 7 Microscope & 2ea Micropositioners | 1 | inquire | |||
84059 | MICROSPEED | PD-250C | PC-TRAC mouse | FUUTB02 | 1 | as is where is | immediately | |
80245 | MILLIPORE CORP | WGFG01HR1 | WAFERGARD F MINI IN-LINE GAS FILTER | 2 | as is where is | immediately | ||
79592 | Minato Electronics | 1940 | EPROM Programmer with additional memory | Electronics Test and Measurement | 31.05.2007 | 1 | as is where is | immediately |
79593 | Minato Electronics | 1940 | EPROM Programmer | Electronics Test and Measurement | 31.05.2005 | 1 | as is where is | immediately |
110337 | MISC | BPS MATCH | Match | SPARES | 1 | inquire | ||
110338 | MISC | DC BIAS CONTROL | Match | SPARES | 1 | inquire | ||
110339 | MISC | DC BIAS CONTROL | Match | SPARES | 1 | inquire | ||
110340 | MISC | DC BIAS CONTROL | Match | SPARES | 1 | inquire | ||
108029 | MSP CORP. | 2300XP1 | Particle Depositioning | 300 mm | 1 | as is where is | ||
106704 | n&k Technology | 6700-CDRT | Wafer Inspection System | 1 | as is where is | |||
106705 | NANO OPTICS | HAZE 2 | Nano Optics Haze 2 | 200 mm | 1 | as is where is | ||
108292 | Nanofocus | Microprint | 3-D package inspection system | ASSEMBLY | 01.06.2014 | 1 | as is where is | |
107027 | NANOMETRICS | Caliper Mosaic | Overlay measurement System | 300 mm | 01.08.2010 | 1 | inquire | immediately |
109113 | Nanometrics | LynX 9010T | Optical CD Measurement (Scatterometry) system | 300 mm | 01.09.2008 | 1 | as is where is | immediately |
106823 | Nanometrics | Nanospec 9100 | Oxide film thickness measurement (PC missing) | 200 mm | 1 | as is where is | immediately | |
103544 | NANOMETRICS | CALIPER ELAN | Overlay | 300 mm | 31.05.2004 | 1 | as is where is | |
91526 | NANOMETRICS | Caliper Mosaic | Overlay | 300 mm | 31.05.2003 | 1 | as is where is | immediately |
91529 | NANOMETRICS | Caliper Mosaic | Overlay | 300 mm | 28.02.2010 | 1 | as is where is | immediately |
91530 | NANOMETRICS | NANOMETRICS 9-7200-0195E | Mask & Wafer Inspection | 200 mm | 1 | as is where is | ||
108186 | NANOMETRICS | CALIPER_MOSAIC | Overlay | 300 mm | 01.06.2001 | 1 | as is where is | |
98480 | Nanometrics | 8000X | film thickness measurement | 150 mm | 2 | as is where is | immediately | |
98481 | Nanometrics | 8000Xse | film thickness measurement | 200 mm | 2 | as is where is | immediately | |
98482 | Nanometrics | Caliper Mosaic (Parts) | EFEM Module, including a Brooks Razor robot | 300 mm | 1 | as is where is | immediately | |
98483 | Nanometrics | Lynx EFEM | EFEM including a Kawasaki robot | 300 mm | 1 | as is where is | immediately | |
108467 | Nanometrics | Tevet Trajectory T3 | Film Thickness Measurement System | 300 mm | 1 | as is where is | ||
106179 | Nanometrics | 9000 | Nanospec Wafer Metrology film thickness measurement tool | 200 mm | 01.06.2005 | 1 | as is all rebuilt | immediately |
106959 | Nanometrics | 9000 (Spare Parts) | Spectroscopic Reflectometer | Spares | 01.06.2005 | 1 | as is where is | immediately |
106960 | Nanometrics | 9000 (Spare Parts) | Computer Nanometrics P/n 7200-2432 | Spares | 01.06.2005 | 1 | as is where is | immediately |
101585 | NANOMETRICS | CALIPER-ULTRA | Overlay Measurement | 300 mm | 30.06.2006 | 1 | as is where is | |
106706 | NANOMETRICS | CALIPER_ULTRA | Mask & Wafer Inspection | 300 mm | 01.06.2006 | 1 | as is where is | |
98289 | NANOMETRICS | CALIPER ULTRA | Mask & Wafer Inspection | 300 mm | 31.05.2005 | 1 | as is where is | |
98290 | NANOMETRICS | CALIPER ULTRA | Mask & Wafer Inspection | 300 mm | 31.05.2005 | 1 | as is where is | |
98291 | NANOMETRICS | CALIPER ULTRA | Mask & Wafer Inspection | 300 mm | 30.06.2006 | 1 | as is where is | immediately |
84369 | NC NOR-CAL PRODUCTS | 0995-16528 | CHEMRAZ O-RING INSIDE OF VALVE | 01.03.1990 | 1 | as is where is | immediately | |
91143 | NEC | NEC SL-473F | Si Wafer Marker | 1 | as is where is | immediately | ||
83887 | NEC | C1OT 6D TA 0100 | THERMOSTAT | 01.06.2004 | 1 | as is where is | immediately | |
83888 | NEC | MU1238B-11B | AIRFLOW ROTATION | 1 | as is where is | immediately | ||
83889 | NEC | MF300-02 | MIST FILTER | MF300-02 | 1 | as is where is | immediately | |
83545 | Nemic-LAMBDA | CKD-65/65 | 65V 6A DC Regulated power supply FOR Advantest T5335P | Spares | 1 | as is where is | immediately | |
83546 | Nemic-LAMBDA | TKS_36-21A | 36V 21A DC Regulated power supply, Advantest T5335P | Spares | 1 | as is where is | immediately | |
108032 | Nextest Systems Corporation | MAGNUM II ICP 1280 | Memory Tester | TEST | 01.04.2010 | 1 | as is where is | immediately |
108030 | Nextest Systems Corporation | MAGNUM ICP 1280 | Memory Tester | TEST | 01.05.2010 | 1 | as is where is | immediately |
108853 | NICOLET | Avatar 370 DTGS | FT-IR Spectrometer | 1 | inquire | |||
108187 | NICOLET | Magna 410 ft-ir | FTIR Spectrophotometer | Laboratory | 01.06.1996 | 1 | as is where is | |
108188 | NICOLET | Magna 560 ft-ir | FTIR Spectrophotometer | Laboratory | 01.06.1998 | 1 | as is where is | |
108771 | NICOLET | Magna 550 | FT-IR Spectrophotometer | Laboratory | 01.05.1993 | 1 | as is all rebuilt | immediately |
108189 | NIDEC | Im15 | Wafer inspection | 1 | as is where is | |||
108190 | NIDEC | Im800 | Wafer inspection | 1 | as is where is | |||
70300 | Novascan | 945-00666-00 | HALOGEN LAMP | SPARES | 2 | as is where is | immediately | |
103384 | Nuclear Elettronica | 314E 5/12 - 6/2 rev A | Power Supply, 5V/12A - 6V/2A | Spares | 14 | as is where is | immediately | |
103385 | Nuclear Elettronica | 314E.100 REV A | Power Supply, 5V/20A | Spares | 10 | as is where is | immediately | |
103387 | Nuclear Elettronica | 312E.44L REV A | Dual Power Supply, +/- 15V / 1A | Spares | 4 | as is where is | immediately | |
83567 | Numatics | 225-272B | Solenoid Valve | 01.04.2009 | 1 | as is where is | ||
74178 | Numatics | 12DSA4 OA00030 | Solenoid Valve 120V | 01.04.2009 | 1 | as is where is | ||
74179 | Numatics | 227-833B | valve | 3 | as is where is | |||
74180 | Numatics | L22L-03 | FILTER PNEUMATIC | 01.01.2011 | 1 | as is where is | ||
80247 | Olympus Optical | DBAP-FA-Z GA | Servo Driver | 1 | as is where is | immediately | ||
21666 | ONE AC CORP | FMV 321S | TRANSFORMER FOR KLA 7700 SERIES PSU | SPARES | 01.02.1996 | 1 | inquire | immediately |
108294 | Orbotech | Ultra Discovery VM | IC inspection system for FC-BGA, PBGA, CSP and COF | SMT | 01.06.2011 | 1 | as is where is | |
109069 | OSCOR | Blue 24 GHz | Specrum Analyzer | 0 | as is where is | immediately | ||
108192 | PAC TECH | SB2-SM | SOLDER BALL BUMPER | 200 mm | 1 | as is where is | ||
83824 | PANASONIC | MF A 020LATNP | AC SERVO MOTOR | SPARES | 1 | as is where is | immediately | |
77202 | Panasonic | M91C90GD4W1 | AC Geared Motor (G Series) | spares | 01.12.2008 | 1 | as is where is | immediately |
84232 | Pepperl Fuchs | OBE5000-18GM70-SE5 | proximity sensor | Spares | 1 | as is where is | immediately | |
84234 | pepperl&fuchs | OBE5000-18GM70-E5-V1 | Proximity sensor | Spares | 1 | as is where is | immediately | |
109077 | Picosun | P1000 | High Volume ALD system for batch coating of parts | Up to 300 mm | 01.06.2020 | 1 | as is where is | immediately |
54210 | Poly Design Inc. | Custom | Heated Quartz Boat storage / drying system | 200 mm | 31.05.2005 | 1 | as is where is | immediately |
110733 | POWATEC | 850 | Manual Wafer Mounter | 01.06.2000 | 1 | as is where is | immediately | |
83565 | Power Launch (?) | FU100F | Valve tube electron tube | 01.01.2009 | 2 | as is where is | immediately | |
83506 | POWERTEC | 9J5-360-371 | SUPER SWITCHER TM SERIES POWER SUPPLY | 220 VOLTS | 30.04.1994 | 1 | as is where is | immediately |
83507 | POWERTEC ASTEC | 9J8-200-371 | SUPER SWITCHER TM SERIES POWER SUPPLY | 220 VOLTS | 31.01.1994 | 1 | as is where is | immediately |
83508 | POWERTEC ASTEC | 9K2-300-372 | SUPER SWITCHER TM SERIES POWER SUPPLY | 220 VOLTS | 30.04.1994 | 1 | as is where is | immediately |
83509 | POWERTEC ASTEC | 9J12-130-371 | SUPER SWITCHER TM SERIES POWER SUPPLY | 220 VOLTS | 31.05.1994 | 1 | as is where is | immediately |
83510 | POWERTEC ASTEC | 6C32-EE-371 | SUPER SWITCHER TM SERIES POWER SUPPLY | 220 VOLTS | 30.06.1994 | 1 | as is where is | immediately |
91356 | PSC | DES-220-456AVL | ASHER | 200 mm | 1 | as is where is | immediately | |
108787 | RECIF | IDLW8R | Wafer ID Reader - Tabletop for 200mm Wafers | 200 mm | 2 | as is where is | immediately | |
108788 | RECIF | SPP8 | Wafer Transfer for 200mm Wafers | 200 MM | 1 | as is where is | immediately | |
109119 | Rectif | Sort | Batch Flip AHMS Sorter | 300 mm | 01.06.2010 | 14 | as is where is | immediately |
110761 | RECTIF | G3 | Wafer Sorter | 300 mm | 2 | as is where is | immediately | |
110792 | RECTIF | SRT300F01 | Wafer Sorter with 4 wafer loading ports | 300 mm | 01.07.2005 | 1 | as is where is | immediately |
110794 | RECTIF | SRT300F34 | Wafer Sorter with 2 wafer loading ports | 300 mm | 01.07.2005 | 1 | as is where is | immediately |
110360 | RF Services | ICPSM | Match | SPARES | 1 | inquire | ||
110361 | RF Services | ICPSM | Match | SPARES | 1 | inquire | ||
110362 | RF Services | ICPSM | Match | SPARES | 1 | inquire | ||
110363 | RF Services | ICPSM | Match | SPARES | 1 | inquire | ||
110364 | RF Services | LF Splitter | Miscellaneous | SPARES | 1 | inquire | ||
110365 | RF Services | LF Splitter | Miscellaneous | SPARES | 1 | inquire | ||
110366 | RF Services | LF Splitter | Miscellaneous | SPARES | 1 | inquire | ||
110367 | RF Services | LF Splitter | Miscellaneous | SPARES | 1 | inquire | ||
110368 | RF Services | LF Splitter | Miscellaneous | SPARES | 1 | inquire | ||
110369 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110370 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110371 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110372 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110373 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110374 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110375 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110376 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110377 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110378 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110379 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110380 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110381 | RF Services | RFS 1000M | Match | SPARES | 1 | inquire | ||
110382 | RF Services | RFS 2502 | Match | SPARES | 1 | inquire | ||
110383 | RF Services | RFS 3002 ICP | Match | SPARES | 1 | inquire | ||
110384 | RF Services | RFS 3002A | Match | SPARES | 1 | inquire | ||
110385 | RF Services | RFS 3002A ICP | Match | SPARES | 1 | inquire | ||
110386 | RF Services | RFS 3006 | Match | SPARES | 1 | inquire | ||
110387 | RF Services | RFS 3009 ICPSM | Match | SPARES | 1 | as is where is | immediately | |
110388 | RF Services | RFS 3018 | Match | SPARES | 1 | inquire | ||
110389 | RF Services | RFS 500 | Match | SPARES | 1 | inquire | ||
110390 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | ||
110391 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | ||
110392 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | ||
110393 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | ||
110394 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | ||
110395 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | ||
110396 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | ||
110397 | RF Services | RFS 700 | Match | SPARES | 1 | inquire | ||
110398 | RF Services | RFS 712 | Match | SPARES | 1 | inquire | ||
110399 | RF Services | Splitter 1k | Miscellaneous | SPARES | 1 | inquire | ||
110400 | RF Services | Splitter 1k | Miscellaneous | SPARES | 1 | inquire | ||
107758 | RF Services | RFS 500/700 | RF Match | Spares | 1 | as is where is | immediately | |
81835 | Rosemont Analytical | 1055-01-11-22 | PH Monitor Solucomp II | 1 | as is where is | immediately | ||
108866 | ROYCE INSTRUMENTS | ASTM-2kg | Die Shear Load Cell | SPARES | 1 | inquire | ||
108867 | ROYCE INSTRUMENTS | ASTM-50g | Wire Pull Load Cell | SPARES | 1 | inquire | ||
108868 | ROYCE INSTRUMENTS | ASTM-200g | Die Shear Load Cell | SPARES | 1 | inquire | ||
108869 | ROYCE INSTRUMENTS | ASTM-200g | Wire Pull Load Cell | SPARES | 1 | inquire | ||
108870 | ROYCE INSTRUMENTS | ASTM-200g | Wire Pull Load Cell | SPARES | 1 | inquire | ||
108871 | ROYCE INSTRUMENTS | STM-20kg | Die Shear Load Cell | SPARES | 1 | inquire | ||
108872 | ROYCE INSTRUMENTS | System 550 100K | Die Shear/Bond Pull Tester with RPTM 50g Wire Pull Load Cell, ASTM-200g, ASTM 2K, STM 20K & STM 100K Shear Test Load Cells, Collection of Workholders | SPARES | 1 | inquire | ||
108873 | ROYCE INSTRUMENTS | Die Shear Workholder | SPARES | 1 | inquire | |||
98495 | SAMCO | PD3800 | LED – pecvd system | 50mm to 200mm | 31.10.1997 | 2 | as is where is | immediately |
98496 | SAMCO | RIE-212 IPC | LED Reactive Ion Etcher | 50/100mm | 1 | as is where is | ||
106736 | SAMCO | RIE-300NR | Reactive Ion Etching System | 300 mm | 01.06.2006 | 1 | as is where is | |
106737 | SAMCO | RIE-300NR | Reactive Ion Etching System | 300 mm | 01.06.2006 | 1 | as is where is | |
83634 | SCANLAB | RTC 2 Type XY-01 | PC INTERFACE BOARD | 20-TVS | 31.07.2006 | 2 | as is where is | immediately |
83836 | SCFH AIR | 100 PSIG | 1 | as is where is | immediately | |||
100709 | Schroff | PSM 115 | Power Supply Unit | Spares | 01.05.2007 | 10 | as is where is | immediately |
107012 | SEC Semiconductor Equipment Corp. | 3100 | Manual wafer taper | 150 mm | 01.07.2002 | 2 | as is where is | immediately |
106740 | SECRON | IP 300 | Prober | 300 mm | 1 | as is where is | ||
109560 | SELA/Camtek | EM3i | Saw for TEM sample preparation | ASSEMBLY | 01.05.2011 | 1 | as is where is | |
77185 | Semco | C60 | Cleanstar PFA Valve C608075305A12HPW C60 HPW | 1 | as is where is | immediately | ||
110466 | Semco | HVS 1500 Controller | Miscellaneous | SPARES | 1 | inquire | ||
110467 | Semco | HVS 2K Controller | Miscellaneous | SPARES | 1 | inquire | ||
77189 | Semco | 156 MM WAFER BOAT | Solar Wafer (156mm) Quartz Rack/Holder for a Furnace | 156mm | 01.06.2010 | 6 | as is where is | immediately |
77191 | Semco | Wafer Boat | Solar Wafer (156mm) Quartz wafer boat | 156mm | 9 | as is where is | immediately | |
77198 | Semco | wafer boat | Solar Wafer (156mm square) Quartz Rack/Holder for a Furnace | 156mm | 3 | as is where is | immediately | |
77208 | Semco | Wafer Boat | Solar Wafer Quartz wafer boat, 5" | 156mm | 3 | as is where is | immediately | |
108588 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER | 300mm | 01.06.2007 | 1 | as is where is | immediately |
108589 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER | 300mm | 01.06.2007 | 1 | as is where is | immediately |
108590 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER | 300mm | 01.06.2007 | 1 | as is where is | immediately |
108591 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER | 300mm | 01.06.2007 | 1 | as is where is | immediately |
108592 | SEMICS | OPUS3 | FULLY AUTOMATED PROBER | 300mm | 01.06.2011 | 1 | as is where is | immediately |
108593 | SEMICS | OPUS3 | FULLY AUTOMATED PROBER | 300mm | 01.06.2011 | 1 | as is where is | immediately |
108696 | SENTECH | Senduro 300 | Thin Film measurement | Up to 300 MM | 01.06.2008 | 1 | inquire | |
74255 | SGL Carbon / Ringsdorff | 3PC1396/2 | Heater 18 inch Graphite 3PC1396 2 | spares | 01.10.2003 | 3 | as is where is | |
93409 | Shinwa Controls Co., Ltd. | CH1-CP-D1 | CH1-CP-D1 | 1 | as is where is | |||
76611 | SHOWA ELECTRONICS | 511-16 | REGULATED DC POWER SUPPLY | laboratory | 1 | as is where is | immediately | |
110744 | Siconnex | Produce 200 Acid | Wet Cleaning System | 200 mm | 01.06.2008 | 1 | as is where is | |
106741 | SIGMAMELTEC | SFG3000 | Photomask | 300 mm | 01.06.2006 | 1 | as is where is | |
108206 | SJ SEMITECH | Liquid Horning | Liquid Horning | 1 | as is where is | |||
84225 | SMC | CY 4R08 | CY3B15-300 CYLINDER | Spares | 1 | as is where is | immediately | |
84236 | SMC | ZPT25US-B5 | Suction cups | Spares | 1 | as is where is | immediately | |
84256 | SMC | WO 36517 | RODLESS CYLINDER | 01.02.1994 | 1 | as is where is | immediately | |
84259 | SMC | CMFN20-50 | AIR CYLINDER | 1 | as is where is | immediately | ||
84262 | SMC | CDGBN20-204 | AIR CYLINDER | 3 | as is where is | immediately | ||
84263 | SMC | CDM2BZ20-125 | AIR CYLINDER | 2 | as is where is | immediately | ||
84264 | SMC | CMFN20-50 | AIR CYLINDER | 1 | as is where is | immediately | ||
84267 | SMC | CDM2RA20-190 | AIR CYLINDER | 1 | as is where is | immediately | ||
84268 | SMC | CDG1FA20-222 | AIR CYLINDER | 1 | as is where is | immediately | ||
84269 | SMC | CDJ2F16 | AIR CYLINDER | 1 | as is where is | immediately | ||
83547 | SMC | IRS_056/09/GT | RODLESS PNEUMATIC CYLINDER *NEW* | 01.01.2008 | 1 | as is where is | immediately | |
77152 | SMC | RL17858 1030567 | 0.8MPS RODLESS PNEUMATIC CYLINDER *NEW* | 01.01.2008 | 1 | as is where is | immediately | |
77157 | SMC | ZX1101-K15LZB-D21L-X121 | Vacuum GENERATOR ZXF35 | Spares | 1 | as is where is | immediately | |
77163 | SMC | CDRB2BWU20-270S | ACTUATOR, ROTARY, VANE TYPE | Spares | 1 | as is where is | immediately | |
84079 | SMC | CDY1S15H | TESTED | 01.07.1994 | 2 | as is where is | ||
105839 | SMC | INR-498-050 | Single loop chiller | FACILITIES | 1 | as is where is | immediately | |
83839 | SMC | CDQSWB20-35DC | COMPACT CYLINDER | Spares | 3 | as is where is | immediately | |
83840 | SMC | ECQ2B32-10DC | ACTUATOR, 32MM CQ2 DOUBLE-ACTING | Spares | 3 | as is where is | immediately | |
83842 | SMC | CQ2B25-25D | ACTUATOR CYLINDER | Spares | 5 | as is where is | immediately | |
83843 | SMC | CQ2B25-20DC | ACTUATOR, CQ2 COMPACT CYLINDER | Spares | 3 | as is where is | immediately | |
83844 | SMC | ECDQ2B32-30D | CQ2 COMPACT CYLINDER | Spares | 2 | as is where is | immediately | |
83845 | SMC | ECDQ2B32-50D | COMPACT CYLINDER | Spares | 1 | as is where is | immediately | |
83867 | SMC | MXS16-30 AS | cyl, slide table, MXS/MXJ GUIDED CYLINDER | SPARES | 1 | as is where is | immediately | |
83612 | SMC | CDRB2BWU20-270S | ACTUATOR, ROTARY, VANE TYPE | Spares | 1 | as is where is | immediately | |
83868 | SMC | CDQ1B32-40D | CYL compact, CQ2 COMPACT CYLINDER | SPARES | 1 | as is where is | immediately | |
83869 | SMC | CDQ1B40-20DM | CYLINDER compact, CQ2 COMPACT CYLINDER | SPARES | 1 | as is where is | immediately | |
83872 | SMC | DF9N | VALVES | SPARES | 2 | as is where is | immediately | |
83632 | SMC | MHF2-12D1R | SMC cylinder | 1 | as is where is | immediately | ||
110806 | SMC | HRS050-WN-20 | Thermo Chiller | chiller | 01.11.2016 | 1 | ||
93406 | SMC | INR 341-54E | CHILLER | 1 | inquire | |||
93407 | SMC | INR-341-59B | CHILLER | Chiller | 1 | as is where is | immediately | |
93408 | SMC | INR-341-59A | INR-341-59A | 1 | as is where is | |||
93410 | SMC | INR-499-201 | Chiller | Chiller | 1 | as is where is | immediately | |
93411 | SMC | INR-499-203 | INR-499-203 | CHILLER | 1 | as is where is | ||
93414 | SMC | INR-341-59B1 | chiller | 1 | as is where is | |||
84214 | SMC | ULUSP-00005 | PNEUMATIC MANIFOLD BASE BLOCK with SMC VL-14 VL-15 VL-16 | Spares | 2 | as is where is | immediately | |
109589 | Solitec | FlexiFab | Coater and Developer | 150 mm | 1 | inquire | ||
109590 | Solitec | 5100 | Manual Spin Coater | 150 mm | 1 | inquire | ||
73208 | Solitec | 5110C | Manually loading Photoresist Spin Coater | 3 to 9 inch | 01.09.1998 | 1 | as is where is | immediately |
7689 | Special Optics | Beam Enlarger for Argon Ion Laser | Beam Enlarger for Argon Ion laser | parts | 01.06.1992 | 2 | as is where is | immediately |
7690 | Special Optics | Fourier Transform Lens | Fourier transform Lens for Argon Ion laser | parts | 01.06.1992 | 2 | as is where is | immediately |
53037 | Special Optics | Half silvered mirror 10" X 14" | spares | 1 | as is where is | immediately | ||
53038 | Special Optics | Custom | Motorized Iris 6" | spares | 1 | as is where is | immediately | |
53039 | Special Optics | Mirror, 9" X 7" | spares | 1 | as is where is | immediately | ||
53040 | Special Optics | APOD #113 | spares | 1 | as is where is | immediately | ||
53043 | Special Optics | Beam expander | spares | 1 | as is where is | immediately | ||
108706 | SSEC | WaferStorm 3300 | Single Wafer Cleaning System | 200 mm | 01.06.2005 | 1 | inquire | |
84376 | STARTECH | GC9SF | GENDER CHANGER | 1 | as is where is | immediately | ||
108299 | Suss MicroTec | CB200 | High Pressure Wafer Bonding Chamber | 200 mm | 01.06.2012 | 1 | as is where is | immediately |
106535 | SUSS Microtec | ACS200 | Automated Photoresist Coater | 200 mm | 1 | as is where is | immediately | |
106536 | SUSS Microtec | ACS200 | Automated Photoresist Coater | 150 mm/200 mm | 1 | as is where is | immediately | |
106537 | SUSS Microtec | ACS200 Classic | Automated Photoresist Coater | 200 mm | 1 | as is where is | immediately | |
106538 | SUSS Microtec | ACS200 Classic | Automated Photoresist Coater | 150 mm/200 mm | 1 | as is where is | immediately | |
106539 | SUSS Microtec | ACS200 Plus | Automated Photoresist Coater | 150 mm/200 mm | 1 | as is where is | immediately | |
106541 | SUSS Microtec | MA200 | MASK ALIGNER | 150 mm/200 mm | 01.06.1999 | 1 | as is where is | immediately |
110737 | Suss MicroTec | Falcon | Polyimide Photo-resist Developer Track, 2D | 150-200 mm | 01.06.1996 | 1 | as is where is | immediately |
106913 | SUSS Microtec | MA150 (Spare Parts) | spares | 1 | as is where is | 2 weeks | ||
110805 | SUSS Microtec | MA200CO | Mask Aligner with topside alignment | 01.07.2014 | 0 | as is where is | immediately | |
106954 | Suss MicroTec (Karl Suss) | MA150 | Mask Aligner | 150 mm | 01.06.2004 | 1 | as is where is | immediately |
106955 | Suss MicroTec (Karl Suss) | MA150 | Mask Aligner | 150 mm | 01.06.1998 | 1 | as is where is | immediately |
106669 | SUSS MICROTECH | PM8 | Prober | 200 mm | 01.06.1996 | 1 | as is where is | |
106670 | SUSS MICROTECH | RC 16 | Resist Spin Coater | N/A | 1 | as is where is | ||
106671 | SUSS MICROTECH | RC 16(RC5) | Resist Spin Coater | N/A | 1 | as is where is | ||
106672 | SUSS MICROTECH | RC 8-ACS 200 | Resist Spin Coater | 200 mm | 1 | as is where is | ||
108979 | SVG Thermco | 606314-02 REV E | Galil Motion Controller CMU PCB, DMC 1330 | Spares | 1 | as is where is | immediately | |
108980 | SVG Thermco | 606314-02 REV 1 | Galil Motion Controller CMU PCB, DMC 1330 | Spares | 1 | as is where is | immediately | |
108982 | SVG Thermco | 606180-01 rev 04 | WTU MOTION CONTROL I/F PCB | Spares | 01.06.2001 | 1 | as is where is | immediately |
108983 | SVG Thermco | 168160-001 REV 4 | PCB, ANALOG ATMOSPHERE APL | Spares | 01.06.1998 | 1 | as is where is | immediately |
108984 | SVG Thermco | 606210-01 REV 4 | S4 CLPB MOTION CONTROL INTERFACE PCB | Spares | 01.08.2001 | 1 | immediately | |
108985 | SVG Thermco | VMEXB12D-CS | VMEBus J1/J2 Common Substrate Extender Board | Spares | 01.06.2004 | 1 | as is where is | immediately |
108986 | SVG Thermco | 165220-001 REV B | EXTENDER BOARD | Spares | 1 | as is where is | immediately | |
106941 | SVG Thermco | 168150-002 REV 3 S13 | RELAY BOARD PCB | Spares | 1 | as is where is | immediately | |
106942 | SVG Thermco | 606200-01 REV 3 S5 | WAF CRT MOTION CONTROL I/F PCB | Spares | 01.06.2001 | 1 | as is where is | immediately |
106943 | SVG Thermco | 606210-01 REV 4 S4 | CLPB MOTION CONTROL I/F PCB | Spares | 01.06.2001 | 1 | as is where is | immediately |
80257 | Swagelok / CAJON | SS-6-VC0 | FITTINGS | spares | 8 | as is where is | immediately | |
110522 | T&C POWER | Power Supply | Power Supply | SPARES | 1 | inquire | ||
110523 | T&C POWER | Power Supply | Power Supply | SPARES | 1 | inquire | ||
110524 | T&C POWER | Power Supply | Power Supply | SPARES | 1 | inquire | ||
110525 | T&C POWER | Power Supply | Power Supply | SPARES | 1 | inquire | ||
110526 | T&C POWER | Power Supply | Power Supply | SPARES | 1 | inquire | ||
71165 | Taitec | TEX 25C | HEAT EXCHANGER | FACILITIES | 01.07.2000 | 2 | as is where is | immediately |
108877 | TAMARACK SCIENTIFIC | Mask Aligner, Contact Mask Exposure System | 1 | inquire | ||||
108878 | TECHNICAL MFG. CORP. | MICRO-g 62-111 | Vibration Isolation Table, 36" X 72"; 1/4"- 20 Holes on 2" Centers | SPARES | 1 | inquire | ||
108879 | TECHNICAL MFG. CORP. | MICRO-g 63-463 | Vibration Isolation Table, 48" X 30" | SPARES | 1 | inquire | ||
108880 | TECHNICAL MFG. CORP. | MICRO-g 63-661 | Vibration Isolation Table, 47" X 36" | SPARES | 1 | inquire | ||
84502 | TED PELLA INC | CAT 622 M | TIN SPHERES ON CARBON | 17.8mm Mount | 2 | as is where is | immediately | |
111341 | TEL (Tokyo Electron Ltd) | ACT 8 (Parts) | Carrier Send Block, SMIF | 200 mm | 01.06.2001 | 1 | as is where is | immediately |
98304 | TEL Tokyo Electron | INDY | Vertical Furnace | 300 mm | 31.05.2010 | 1 | as is where is | |
98305 | TEL Tokyo Electron | INDY | Vertical Furnace | 300 mm | 31.05.2010 | 1 | as is where is | |
108036 | TEL Tokyo Electron | INDY PLUS | BCD POLY | 300 mm | 01.07.2010 | 1 | as is where is | |
98846 | TEL Tokyo Electron | VIGUS Mask | Dielectric Etch | 300 mm | 30.04.2009 | 1 | as is where is | immediately |
98847 | TEL Tokyo Electron | VIGUS RK2 | Dielectric Etch | 300 mm | 31.07.2013 | 1 | as is where is | immediately |
77089 | TEL TOKYO ELECTRON | 3387-002688-12 | Tel P8XL Camera assembly | Spares | 1 | as is where is | immediately | |
109093 | TEL Tokyo Electron | Expedius | Acid Wet bench | 300 mm | 01.10.2006 | 1 | as is where is | immediately |
110638 | TEL Tokyo Electron | Mark8 | Lithography Coater and Developer with 2c, 2d | 150 mm | 1 | as is where is | ||
110639 | TEL Tokyo Electron | P-12XL | Prober | 300 mm | 1 | as is where is | ||
110640 | TEL Tokyo Electron | P-12XL | prober | 300 mm | 1 | as is where is | ||
110641 | TEL Tokyo Electron | Telius | Dry Etcher | 300 mm | 1 | as is where is | ||
110642 | TEL Tokyo Electron | Telius SP-Vesta | Dry Etcher | 300 mm | 1 | as is where is | ||
110643 | TEL Tokyo Electron | Trias Ti/TiN | TiN CVD | 300 mm | 1 | as is where is | ||
110644 | TEL Tokyo Electron | Trias Ti/TiN | TiN CVD | 300 mm | 1 | as is where is | ||
110645 | TEL Tokyo Electron | UNITY2e-855DD | Dry Etcher | 200 mm | 1 | as is where is | ||
110646 | TEL Tokyo Electron | Unity2e-855II | Dry Etcher | 200 mm | 1 | as is where is | ||
108599 | TEL TOKYO ELECTRON | P12XLM | Prober | 300 mm | 01.05.2006 | 1 | inquire | immediately |
110647 | TEL Tokyo Electron | Unity2e-855II | Dry Etcher | 200 mm | 1 | as is where is | ||
108600 | TEL Tokyo Electron | A303I | Vertical furnace | 300 mm | 01.06.2004 | 1 | as is where is | immediately |
110648 | TEL Tokyo Electron | Unity2e-855II | Dry Etcher | 200 mm | 1 | as is where is | ||
108601 | TEL Tokyo Electron | A303I | Vertical furnace | 300 mm | 01.06.2006 | 1 | as is where is | immediately |
110649 | TEL Tokyo Electron | Unity2e-855II IEM | Dry Etcher | 200 mm | 1 | as is where is | ||
108602 | TEL Tokyo Electron | A303I | Vertical furnace | 300 mm | 01.06.2006 | 1 | as is where is | immediately |
110650 | TEL Tokyo Electron | Unity2e-855PP DP | Dry Etcher | 200 mm | 1 | as is where is | ||
108603 | TEL Tokyo Electron | P12XLM | Prober | 300 mm | 01.05.2006 | 1 | as is where is | immediately |
110651 | TEL Tokyo Electron | Unity2e-855SS | Dry Etcher | 200 mm | 1 | as is where is | ||
108604 | TEL TOKYO ELECTRON | Precio Nano | FULLY AUTOMATED PROBER | 300 mm | 01.06.2012 | 1 | as is where is | immediately |
110652 | TEL Tokyo Electron | Unity2e-85DPA | Dry Etcher | 200 mm | 1 | as is where is | ||
108605 | TEL Tokyo Electron | ACT 8 | Photoresist coater and developer track with 3C and 3D | 200 mm | 01.09.2001 | 1 | as is where is | immediately |
110653 | TEL Tokyo Electron | Unity2E-85IEM | Dry Etcher | 200 mm | 1 | as is where is | ||
108606 | TEL Tokyo Electron | I/F Block (Mark8 - i11D) | Track interface block for Nikon i11D | 200 mm | 01.05.1997 | 1 | as is where is | |
109118 | TEL TOKYO ELECTRON | Trias | CVD | 300 mm | 01.06.2010 | 14 | as is where is | immediately |
110654 | TEL Tokyo Electron | Unity2e-85TPATC | Dry Etcher | 200 mm | 1 | as is where is | ||
110655 | TEL Tokyo Electron | UW300Z | Wet | 300 mm | 1 | as is where is | ||
110656 | TEL Tokyo Electron | UW8000 | Wet | 200 mm | 1 | as is where is | ||
110657 | TEL Tokyo Electron | UW8000 | Wet | 200 mm | 1 | as is where is | ||
108614 | TEL Tokyo Electron | P8XL | Fully Automated Prober | 200 mm | 01.06.2001 | 1 | as is where is | immediately |
108106 | TEL Tokyo Electron | Alpha-303i-H | Vertical Furnace, MTO | 300 mm | 1 | as is where is | ||
110666 | TEL Tokyo Electron | Interface module | For Mark7 photoresist coater and developer | spares | 01.07.1997 | 1 | as is where is | immediately |
108107 | TEL Tokyo Electron | Alpha-303i-K | Vertical Furnace, DCS MTO | 300 mm | 1 | as is where is | ||
108108 | TEL Tokyo Electron | Alpha-303i-K | Vertical Furnace, MTO | 300 mm | 1 | as is where is | ||
108109 | TEL Tokyo Electron | Formula | Vertical Furnace | 300 mm | 1 | as is where is | ||
108110 | TEL Tokyo Electron | Formula | Vertical Furnace | 300 mm | 1 | as is where is | ||
108111 | TEL Tokyo Electron | Indy-A-L | Vertical Furnace, LPRO | 300 mm | 1 | as is where is | ||
108112 | TEL Tokyo Electron | Indy-B-L | Vertical Furnace | 300 mm | 1 | as is where is | ||
108113 | TEL Tokyo Electron | Indy-B-L | Vertical Furnace, D-poly | 300 mm | 1 | as is where is | ||
108114 | TEL Tokyo Electron | Indy-B-L | Vertical Furnace, D-poly | 300 mm | 1 | as is where is | ||
108115 | TEL Tokyo Electron | Indy-B-L | Vertical Furnace, DCS Nitride | 300 mm | 1 | as is where is | ||
108116 | TEL Tokyo Electron | Indy-I-L | Vertical Furnace | 300 mm | 1 | as is where is | ||
108117 | TEL Tokyo Electron | Indy-I-L | Vertical Furnace, LT ALD SIN | 300 mm | 1 | as is where is | ||
108118 | TEL Tokyo Electron | Interface module | For Mark8 photoresist coater and developer | spares | 01.12.1995 | 1 | as is where is | immediately |
108119 | TEL Tokyo Electron | LU-8209 | Auto refill system for TEL ALPHA-8S TEOS | spares | 1 | as is where is | ||
108120 | TEL Tokyo Electron | TE8500 | Dry Etch | 200 mm | 1 | as is where is | ||
108126 | TEL Tokyo Electron | VMU-40-007 | Heater for Alpha 8SE furnace | spares | 1 | as is where is | ||
110693 | TEL TOKYO ELECTRON | Mark 8 | Polyimide Cure Track | 200 mm | 01.06.2009 | 1 | as is where is | immediately |
103528 | TEL Tokyo Electron | EXPEDIUS | DUMMY CLN | 300 mm | 1 | as is where is | ||
110698 | TEL TOKYO ELECTRON | Mark 5 | Photoresist Track | 150 mm | 01.06.1991 | 1 | as is where is | immediately |
91245 | TEL TOKYO ELECTRON | TRIAS CHAMBER ONLY | LT TIN (N2/NH3/N2/CIF3) | 300 mm | 1 | as is where is | ||
93040 | TEL TOKYO ELECTRON | VIGUS MASK | ETCH | 300 mm | 31.05.2009 | 1 | as is where is | |
93041 | TEL TOKYO ELECTRON | VIGUS MASK | ETCH | 300 mm | 31.05.2010 | 1 | as is where is | |
93042 | TEL TOKYO ELECTRON | VIGUS MASK | ETCH | 300 mm | 31.05.2010 | 1 | as is where is | |
91255 | TEL TOKYO ELECTRON | ALPHA-303i | H type / Poly | 300 mm | 1 | as is where is | ||
83832 | TEL Tokyo Electron | 028-016314-1 | FITTING TUBE...1016-0 8 | SPARES | 1 | as is where is | immediately | |
91256 | TEL TOKYO ELECTRON | ALPHA-303i | H type / Poly | 300 mm | 1 | as is where is | ||
91258 | TEL TOKYO ELECTRON | ALPHA-303i | K type | 300 mm | 1 | as is where is | ||
91259 | TEL TOKYO ELECTRON | Telformula(ver.0) | optimal thermal processing | 300 mm | 1 | as is where is | ||
108929 | TEL TOKYO ELECTRON | Alpha 8 SZ | Vertical Furnace, Fast Thermal Oxidation process | 200 mm | 01.06.2001 | 2 | as is where is | immediately |
96386 | TEL Tokyo Electron | Lithius | Lithography Coater Developer | 31.05.2007 | 0 | as is where is | immediately | |
93059 | TEL TOKYO ELECTRON | ALPHA-303i | Vertical Furnace K type / MTO | 300 MM | 31.05.2005 | 1 | as is where is | |
93060 | TEL TOKYO ELECTRON | ALPHA-303i | Vertical Furnace K type / MTO | 300 MM | 31.05.2005 | 1 | as is where is | |
2181 | TEL TOKYO ELECTRON | TE 5480 | Nitride Plasma Reactive Ion Etch | 150 mm | 01.11.1992 | 1 | as is where is | immediately |
103557 | TEL Tokyo Electron | ALPHA 303I | K type / Nitride | 300 mm | 1 | as is where is | ||
93062 | TEL TOKYO ELECTRON | ALPHA-303i | Vertical Furnace K type / MTO | 300 MM | 31.05.2004 | 1 | as is where is | |
103558 | TEL Tokyo Electron | ALPHA 303I | Poly / K type | 300 mm | 1 | as is where is | ||
93063 | TEL TOKYO ELECTRON | ALPHA-303i | Vertical Furnace K type / MTO | 300 MM | 31.05.2005 | 1 | as is where is | |
93064 | TEL TOKYO ELECTRON | ALPHA-303i | Vertical Furnace K type / MTO | 300 MM | 31.05.2007 | 1 | as is where is | |
103560 | TEL Tokyo Electron | ALPHA 303I | Poly / K type | 300 mm | 31.05.2004 | 1 | as is where is | |
108936 | TEL TOKYO ELECTRON | Lithius | Photoresist Coater and Developer Track | 300 mm | 01.04.2004 | 1 | as is where is | |
93065 | TEL TOKYO ELECTRON | ALPHA-303i | Vertical Furnace K type / MTO | 300 MM | 31.05.2007 | 1 | as is where is | |
103561 | TEL Tokyo Electron | ALPHA 303I | Poly / K type | 300 mm | 31.05.2004 | 1 | as is where is | |
108937 | TEL TOKYO ELECTRON | Lithius | Photoresist Coater and Developer Track | 300 mm | 01.06.2007 | 1 | as is where is | |
103562 | TEL Tokyo Electron | ALPHA 303I-KVCN | Poly / K type | 300 mm | 1 | as is where is | ||
103564 | TEL Tokyo Electron | ALPHA 303I-KVCN | Poly / K type | 300 mm | 1 | as is where is | ||
103565 | TEL Tokyo Electron | ALPHA-303i | K type / Poly | 300 mm | 1 | as is where is | ||
103566 | TEL Tokyo Electron | ALPHA-303i | K type / Poly | 300 mm | 1 | as is where is | ||
21135 | TEL TOKYO ELECTRON | UPGRADE FOR SCCM OXIDE TOOL | KIT FOR UPGRADE FOR SCCM OXIDE TOOL | SPARES | 1 | as is where is | immediately | |
103567 | TEL Tokyo Electron | ALPHA-303i | K type / Poly | 300 mm | 1 | as is where is | ||
103568 | TEL Tokyo Electron | FORMULA | DCS SiN | 300 mm | 1 | as is where is | ||
103569 | TEL Tokyo Electron | FORMULA | DCS SiN | 300 mm | 1 | as is where is | ||
103570 | TEL Tokyo Electron | FORMULA | DCS SiN | 300 mm | 1 | as is where is | ||
103571 | TEL Tokyo Electron | FORMULA | DCS SiN | 300 mm | 1 | as is where is | ||
103572 | TEL Tokyo Electron | FORMULA | DCS SiN | 300 mm | 1 | as is where is | ||
103573 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
103574 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
103575 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
108695 | TEL Tokyo Electron | TRIAS | High K Metal CVD and ALD system, NiOx, HfOx process | 300 MM | 01.06.2012 | 1 | inquire | |
103576 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
103577 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
103578 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
103579 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
103580 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
103581 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 31.05.2006 | 1 | as is where is | |
103582 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 31.05.2006 | 1 | as is where is | |
110752 | TEL Tokyo Electron | ACT 12 | Double Block DUV Photoresist Coater and Developer Track | 300 mm | 01.01.2009 | 1 | as is where is | immediately |
108707 | TEL Tokyo Electron | Tactras RLSA (Chamber) | Polysilicon Dry Etch Chamber | 300 MM | 01.06.2012 | 1 | inquire | |
108966 | TEL Tokyo Electron | ACT 12 | DOUBLE BLOCK TRACK with 3 PCT, 1 COT, 4 DEV, R to L | 200 mm | 01.06.2018 | 1 | inquire | immediately |
103597 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
103598 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
103599 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
108207 | TEL Tokyo Electron | VIGUS_NEST | Dry ETCHing System | 300 mm | 01.06.2007 | 1 | as is where is | |
103600 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
106416 | TEL TOKYO ELECTRON | INDY-PLUS-B-M | VERTICAL CVD FURNACE | 300 mm | 01.07.2010 | 1 | as is where is | immediately |
108208 | TEL Tokyo Electron | VIGUS_PX | Dry Etcher | 300 mm | 01.06.2007 | 1 | as is where is | |
103601 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
108209 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer (5C/5D) | 300 mm | 01.06.2006 | 1 | as is where is | |
103602 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
108210 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer (5C/5D) | 300 mm | 01.06.2006 | 1 | as is where is | |
103603 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
108211 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer (5C/5D) | 300 mm | 01.06.2007 | 1 | as is where is | |
103604 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
108212 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer (5C/5D) | 300 mm | 01.06.2007 | 1 | as is where is | |
109236 | TEL TOKYO ELECTRON | ALPHA-303i Anneal | Vertical Furnace | 300mm | 1 | as is where is | ||
103605 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
109237 | TEL TOKYO ELECTRON | ALPHA-303i Anneal | Vertical Furnace | 300mm | 1 | as is where is | ||
103606 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | ||
109238 | TEL TOKYO ELECTRON | ALPHA-303i Anneal | Vertical Furnace | 300mm | 1 | as is where is | ||
109239 | TEL TOKYO ELECTRON | ALPHA-303i Anneal | Vertical Furnace | 300mm | 1 | as is where is | ||
109240 | TEL TOKYO ELECTRON | ALPHA-303i Anneal | Vertical Furnace | 300mm | 1 | as is where is | ||
109241 | TEL TOKYO ELECTRON | ALPHA-303i Anneal | Vertical Furnace | 300mm | 1 | as is where is | ||
109242 | TEL TOKYO ELECTRON | ALPHA-303i Nitride | Vertical Furnace | 300mm | 1 | as is where is | ||
103611 | TEL Tokyo Electron | INDY | ALD High-K | 300 mm | 1 | as is where is | ||
109243 | TEL TOKYO ELECTRON | ALPHA-303i Nitride | Vertical Furnace | 300mm | 1 | as is where is | ||
103612 | TEL Tokyo Electron | INDY | ALD High-K | 300 mm | 31.05.2011 | 1 | as is where is | |
109244 | TEL TOKYO ELECTRON | CLEAN TRACK ACT 12 | Multi Block (Resist Coater/Developer) | 300mm | 1 | as is where is | ||
103613 | TEL Tokyo Electron | INDY | Doped Poly | 300 mm | 1 | as is where is | ||
109245 | TEL TOKYO ELECTRON | CLEAN TRACK ACT 12 | Single Block (Resist Coater/Developer) | 300mm | 1 | as is where is | ||
103614 | TEL Tokyo Electron | INDY PLUS | ALD High-K | 300 mm | 31.05.2014 | 1 | as is where is | |
109246 | TEL TOKYO ELECTRON | CLEAN TRACK LITHIUS | Coat only Track | 300mm | 1 | as is where is | ||
103615 | TEL Tokyo Electron | INDY PLUS | ALD High-K | 300 mm | 1 | as is where is | ||
109247 | TEL TOKYO ELECTRON | CLEAN TRACK LITHIUS | Multi Block (Resist Coater/Developer) | 300mm | 1 | as is where is | ||
103616 | TEL Tokyo Electron | INDY PLUS | ALD High-K | 300 mm | 1 | as is where is | ||
109248 | TEL TOKYO ELECTRON | CLEAN TRACK LITHIUS | Multi Block (Resist Coater/Developer) | 300mm | 1 | as is where is | ||
103617 | TEL Tokyo Electron | INDY PLUS | ALD High-K | 300 mm | 1 | as is where is | ||
109249 | TEL TOKYO ELECTRON | CLEAN TRACK LITHIUS Pro Z | Multi Block (Resist Coater/Developer) | 300mm | 1 | as is where is | ||
109250 | TEL TOKYO ELECTRON | CLEAN TRACK LITHIUS SINGLE BLOCK | Single Block (Coat/Develop) | 300mm | 1 | as is where is | ||
109251 | TEL TOKYO ELECTRON | CLEAN TRACK LITHIUS SINGLE BLOCK | Single Block (Coat/Develop) | 300mm | 1 | as is where is | ||
109252 | TEL TOKYO ELECTRON | CLEAN TRACK LITHIUS SINGLE BLOCK | Single Block (Coat/Develop) | 300mm | 1 | as is where is | ||
109253 | TEL TOKYO ELECTRON | CLEAN TRACK LITHIUS SINGLE BLOCK | Single Block (Coat/Develop) | 300mm | 1 | as is where is | ||
103622 | TEL Tokyo Electron | LITHIUS | SINGLE BLOCK 2C/3D system (for NIKON S308) | 300 mm | 31.05.2006 | 1 | as is where is | |
109254 | TEL TOKYO ELECTRON | Expedius | Batch Wafer Processing | 300mm | 1 | as is where is | ||
103623 | TEL Tokyo Electron | LITHIUS | SINGLE BLOCK (for NIKON S205) | 300 mm | 31.05.2006 | 1 | as is where is | |
109255 | TEL TOKYO ELECTRON | Expedius+ | Batch Wafer Processing | 300mm | 1 | as is where is | ||
103624 | TEL Tokyo Electron | LITHIUS | SINGLE BLOCK 2C/3D system (for NIKON S308) | 300 mm | 31.05.2004 | 1 | as is where is | |
109256 | TEL TOKYO ELECTRON | Tactras DRM3 | Dielectric Etch | 300mm | 1 | as is where is | ||
109257 | TEL TOKYO ELECTRON | Tactras Vesta | Polysilicon Etch | 300mm | 1 | as is where is | ||
18890 | TEL TOKYO ELECTRON | 201345 | Operations manual | 1 | as is where is | |||
103626 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer | 300 mm | 1 | as is where is | ||
109258 | TEL TOKYO ELECTRON | Tactras Vesta | Polysilicon Etch | 300mm | 1 | as is where is | ||
18891 | TEL TOKYO ELECTRON | 201336 | Operations manual | 1 | as is where is | |||
103627 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer | 300 mm | 1 | as is where is | ||
109259 | TEL TOKYO ELECTRON | TELFORMULA ALD High-K | Vertical Furnace | 300mm | 1 | as is where is | ||
18892 | TEL TOKYO ELECTRON | 201341 | Operations manual | 1 | as is where is | |||
109260 | TEL TOKYO ELECTRON | TELFORMULA Nitride | Vertical Furnace | 300mm | 1 | as is where is | ||
18893 | TEL TOKYO ELECTRON | 201342 | Operations manual | 1 | as is where is | |||
91341 | TEL TOKYO ELECTRON | TELIUS SCCM T-3044SS | DRY ETCHER | 300 mm | 31.05.2007 | 1 | as is where is | |
103629 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer | 300 mm | 31.05.2007 | 1 | as is where is | |
109261 | TEL TOKYO ELECTRON | TELFORMULA Nitride | Vertical Furnace | 300mm | 1 | as is where is | ||
18894 | TEL TOKYO ELECTRON | 201339 | Operations manual | 1 | as is where is | |||
103630 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer | 300 mm | 1 | as is where is | ||
109262 | TEL TOKYO ELECTRON | TELFORMULA Nitride | Vertical Furnace | 300mm | 1 | as is where is | ||
18895 | TEL TOKYO ELECTRON | 201335 | Operations manual | 1 | as is where is | |||
103631 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer | 300 mm | 31.05.2005 | 1 | as is where is | |
109263 | TEL TOKYO ELECTRON | TELFORMULA Oxide | Vertical Furnace | 300mm | 1 | as is where is | ||
18896 | TEL TOKYO ELECTRON | 201342 | Operations manual | 1 | as is where is | |||
103632 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer | 300 mm | 31.05.2007 | 1 | as is where is | |
109264 | TEL TOKYO ELECTRON | TELINDY Nitride | Vertical Furnace | 300mm | 1 | as is where is | ||
18897 | TEL TOKYO ELECTRON | 201346 | Operations manual | 1 | as is where is | |||
109265 | TEL TOKYO ELECTRON | TELINDY Nitride | Vertical Furnace | 300mm | 1 | as is where is | ||
103634 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer | 300 mm | 1 | as is where is | ||
109266 | TEL TOKYO ELECTRON | TELINDY Nitride | Vertical Furnace | 300mm | 1 | as is where is | ||
109267 | TEL TOKYO ELECTRON | TELINDY Plus IRAD Oxide | Vertical Furnace | 300mm | 1 | as is where is | ||
108500 | TEL Tokyo Electron | Expedius | Batch Wafer Processing | 300 mm | 3 | as is where is | ||
109268 | TEL TOKYO ELECTRON | TELINDY Plus IRAD Oxide | Vertical Furnace | 300mm | 1 | as is where is | ||
109269 | TEL TOKYO ELECTRON | Telius 305 DRM | Dielectric Etch | 300mm | 1 | as is where is | ||
109270 | TEL TOKYO ELECTRON | Telius 305 DRM | Dielectric Etch | 300mm | 1 | as is where is | ||
109526 | TEL TOKYO ELECTRON | ACT12 (4C4D) | PHOTORESIST COATER AND DEVELOPER TRACK | 300mm | 01.06.2010 | 1 | as is where is | |
109271 | TEL TOKYO ELECTRON | Telius 305 DRM | Dielectric Etch | 300mm | 1 | as is where is | ||
109272 | TEL TOKYO ELECTRON | Telius SP 305 DRM | Dielectric Etch | 300mm | 1 | as is where is | ||
108505 | TEL Tokyo Electron | Tactras RLSA Poly | Polysilicon Etch | 300 mm | 1 | as is where is | ||
109273 | TEL TOKYO ELECTRON | Telius SP 305 DRM | Dielectric Etch | 300mm | 1 | as is where is | ||
108506 | TEL Tokyo Electron | Tactras Vesta | Polysilicon Etch | 300 mm | 6 | as is where is | ||
109274 | TEL TOKYO ELECTRON | Telius SP-305 SCCM | Dielectric Etch | 300mm | 1 | as is where is | ||
108507 | TEL Tokyo Electron | Tactras Vesta NV3 | Polysilicon Etch | 300 mm | 1 | as is where is | ||
109275 | TEL TOKYO ELECTRON | Trias Ti/TiN | Metal CVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | ||
108508 | TEL Tokyo Electron | Tactras Vesta NV3 | Polysilicon Etch | 300 mm | 1 | as is where is | ||
108510 | TEL Tokyo Electron | Tactras Vigus | Dielectric Etch | 300 mm | 1 | as is where is | ||
108511 | TEL Tokyo Electron | Tactras Vigus - Chamber Only | Dielectric Etch | 300 mm | 1 | as is where is | ||
108513 | TEL Tokyo Electron | Tactras Vigus RK5 | Dielectric Etch | 300 mm | 1 | as is where is | ||
108002 | TEL Tokyo Electron | Alpha 805 | VERTICAL CVD FURNACE, DRY OXIDE | 200 mm | 1 | as is where is | immediately | |
108003 | TEL Tokyo Electron | Alpha 805 | VERTICAL CVD FURNACE, TEOS PROCESS | 200 mm | 1 | as is where is | immediately | |
109027 | TEL Tokyo Electron | Alpha 8S-Z (AP) | Vertical Furnace Oxide Process | 200 mm | 01.08.1999 | 1 | as is where is | immediately |
108004 | TEL Tokyo Electron | Alpha 8S | VERTICAL CVD FURNACE, PYRO PROCESS | 200 mm | 1 | as is where is | immediately | |
108516 | TEL Tokyo Electron | TELFORMULA LPRO | Vertical Furnace | 300 mm | 1 | as is where is | ||
108005 | TEL Tokyo Electron | Alpha 8S | VERTICAL CVD FURNACE, POCL3 PROCESS | 200 mm | 1 | as is where is | immediately | |
108517 | TEL Tokyo Electron | TELFORMULA LPRO | Vertical Furnace | 300 mm | 1 | as is where is | ||
108523 | TEL Tokyo Electron | TELINDY Plus IRAD Oxide | Vertical Furnace | 300 mm | 1 | as is where is | ||
109548 | TEL Tokyo Electron | P12XL | Automatic Prober | 300 mm | 01.06.2005 | 63 | as is where is | immediately |
86253 | TEL TOKYO ELECTRON | 2985-429208-W4 | ACT 12 2985-429208-W4 ADH SUB UNIT BASE ASSY ADHESIVE MODULE | 300 mm | 1 | as is where is | immediately | |
108525 | TEL Tokyo Electron | TELINDY Plus process TBD | Vertical Furnace | 300 mm | 1 | as is where is | ||
108527 | TEL Tokyo Electron | Telius SP 305 DRM | Dielectric Etch | 300 mm | 4 | as is where is | ||
87287 | TEL Tokyo Electron | TELFORMULA Nitride | Vertical LPCVD Furnace | 300 mm | 31.05.2004 | 1 | as is where is | immediately |
106744 | TEL TOKYO ELECTRON | ALPHA 303I | Nitride CVD Furnace | 300 mm | 1 | as is where is | ||
108536 | TEL Tokyo Electron | Triase+ Ti/TiN | Metal CVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | ||
106745 | TEL TOKYO ELECTRON | ALPHA 303I | Nitride CVD Furnace | 300 mm | 1 | as is where is | ||
108537 | TEL Tokyo Electron | Unity Me 85 DRM | Dielectric Etch | 200 MM | 01.06.2013 | 1 | as is where is | immediately |
106746 | TEL TOKYO ELECTRON | FORMULA | ALD High K FURNACE | 300 mm | 1 | as is where is | ||
108538 | TEL Tokyo Electron | Unity Me 85 SCCM | Dielectric Etch | 200 MM | 1 | as is where is | ||
98299 | TEL Tokyo Electron | FORMULA | Vertical LPCVD Furnace | 300 mm | 1 | as is where is | ||
106747 | TEL TOKYO ELECTRON | FORMULA | ALD High K FURNACE | 300 mm | 1 | as is where is | ||
106748 | TEL TOKYO ELECTRON | LITHIUS | High Reliability and Productivity Coater Developer (5C/5D) | 300 mm | 01.06.2005 | 1 | as is where is | |
106749 | TEL TOKYO ELECTRON | LITHIUS i+ | High Reliability and Productivity Coater Developer (5C/5D) | 300 mm | 01.06.2006 | 1 | as is where is | |
109565 | TEL Tokyo Electron | Unity SCCM Shin | Oxide Etcher with qty 3 chambers | 300 mm | 01.05.2005 | 1 | as is where is | immediately |
98302 | TEL Tokyo Electron | INDY | Vertical Furnace | 300 mm | 31.05.2006 | 1 | as is where is | |
106750 | TEL TOKYO ELECTRON | TEL TRIAS | CVD Cluster tool | 300 mm | 1 | as is where is | ||
98303 | TEL Tokyo Electron | INDY | Vertical Furnace | 300 mm | 31.05.2004 | 1 | as is where is | |
106751 | TEL TOKYO ELECTRON | TEL TRIAS 200 SPA | CVD Cluster tool | 300 mm | 1 | as is where is | ||
108883 | TEMESCAL | BJD-1800 | E-Beam Evaporator with CV-14 Power Supply | 1 | inquire | |||
108884 | TEMESCAL | FCE-2500 | E-Beam Evaporator with Simba 2 Power Supply | 1 | inquire | |||
108885 | TEMESCAL | VES-2550 | E-Beam Evaporator with CV-14 Power Supply | 1 | inquire | |||
83553 | TEMPTRONIC | TP22-2 | TEMPERATURE TEMP SET | TP22-2 | 01.12.1986 | 1 | as is where is | immediately |
83829 | TEMPTRONIC | THERMO SPOT | THERMO SPOT HEATING HEAD | SPARES | 1 | as is where is | immediately | |
83575 | TENCOR INSTRUMENTS | AC 100V | POWER SW | AC 100 V | 01.04.1996 | 1 | as is where is | |
83576 | TENCOR INSTRUMENTS | AC 100-120 V | POWER SW | AC 100-120 V | 01.11.1995 | 1 | as is where is | immediately |
80266 | TESCOM | 150 | REGULATORS PRESSURE | 3 | as is where is | immediately | ||
108609 | THERMONICS | T-2500SEA | Precision Temperature Forcing System | 300 mm | 01.05.2011 | 1 | as is where is | immediately |
108889 | THERMONICS | T-2427 | Temperatuire Forcing System with DUT Cooling Shroud, Needs Compressor Work | ASSEMBLY | 1 | inquire | ||
83877 | THK CO.,LCD | OR17 | BLOCK SR-2V | 5 | as is where is | immediately | ||
83878 | THK CO.,LCD | SC35uu | LM CASE UNIT | 2 | as is where is | immediately | ||
83879 | THK CO.,LCD | FBA 5 | FLAT BALL | 2 | as is where is | immediately | ||
83827 | TOKIMEC | VA12134A | DIRECTIONAL CONTROL VALVE | 1 | as is where is | immediately | ||
83828 | TOKIMEC | 012-7 | DIRECTIONAL CONTROL VALVE | 2 | as is where is | immediately | ||
83831 | TOKYO ELECRON | 015 | RELAY | SPARES | 1 | as is where is | immediately | |
83833 | TOKYO ELECRON | 011 | SUPPORT.PCB..SQ-80 | SPARES | 1 | as is where is | immediately | |
108039 | Tokyo Electron | Spare Parts | Various Spare Parts for sale | Spares | 1 | as is where is | immediately | |
83641 | TOKYO ELECTRON | 1D10-317R09-12 | PLATE,GALDEN FLOW CHECKER | SPARES | 1 | as is where is | immediately | |
83640 | TOKYO ELECTRON / CONTEC | FC-SD70 | flow meter | FLOW CHECKER | 1 | as is where is | immediately | |
77088 | Tolomatic | 11240741 | Tolomatic cylinder, replacement for AMI tools | 1 | as is where is | |||
108890 | ULTRACISION | 860 | Manual Wafer Prober, for up to 200mm Wafers | 200 MM | 1 | inquire | ||
106553 | Ultratech | 4700 | Stepper | 150 mm/200 mm | 01.06.1996 | 1 | as is where is | immediately |
106554 | Ultratech | 6700 | Stepper | 150 mm/200 mm | 1 | as is where is | immediately | |
103807 | Ultratech | Titan II | Stepper | 150 mm | 1 | inquire | immediately | |
109604 | Ulvac | Enviro | Downstream Microwave Asher | 1 | inquire | |||
106567 | Ulvac | FRE200E | XeF2 Etching System | 01.06.2018 | 1 | as is where is | immediately | |
108903 | Ulvac | Ceraus ZX-1000 | PVD | 200 MM | 01.05.1996 | 1 | as is where is | immediately |
108913 | Ulvac | Ceraus ZX-1000 | PVD Cluster tool | 200 MM | 01.05.1996 | 1 | as is where is | immediately |
108914 | Ulvac | Ceraus ZX-1000 | PVD | 200 MM | 01.05.1995 | 1 | as is where is | immediately |
108915 | Ulvac | Ceraus ZX-1000 | PVD Cluster tool | 200 MM | 01.05.2000 | 1 | as is where is | immediately |
108947 | Ulvac | Entron-EX W300 | PVD (Physical Vapor Deposition) cluster tool, 3 chamber | 300 mm | 01.06.2011 | 1 | as is where is | immediately |
108948 | Ulvac | Entron-EX W300 | PVD (Physical Vapor Deposition) cluster tool, 3 x PVD chambers+ support chambers | 300 mm | 01.06.2006 | 1 | as is where is | immediately |
109276 | Ulvac | Entron-EX: Aluminum Interconnect | PVD (Physical Vapor Deposition) | 300mm | 1 | as is where is | ||
87615 | United Detector Technology, Inc. | 40X | Laser Power Meter | Test | 31.05.1992 | 1 | as is where is | immediately |
77210 | various MFCs | MFC LOT | Qualiflow, Brooks 5850 Cracked/broken MFCs for refurb. | spares | 01.01.2009 | 1 | as is where is | immediately |
107011 | Veeco | Dektak 200 Si | Contact Profilometer - for spares use (Not operational condition) | 150 mm | 01.06.2000 | 1 | as is where is | immediately |
106007 | VEECO | E475 Turbodisc | MOCVD System configured for AsP use | 100 mm | 01.09.2010 | 1 | as is where is | immediately |
108331 | Veeco | Dimension 7000 | Atomic Force Microscope (AFM) | 200mm | 1 | as is where is | immediately | |
109116 | Veeco | Vx340 Dimension | Atomic Force Proflier | 300 mm | 01.06.2010 | 1 | as is where is | immediately |
108893 | VEECO | AP-150 | Automtic Four Point Probe, for up to 6" Wafers | 150 MM | 1 | as is where is | 2 weeks | |
108894 | VEECO | Dektak 3030ST | Profilometer | 1 | inquire | |||
108916 | Veeco | Dimension X3D | Model 340 Atomic Force Microscope | 300 MM | 01.05.2006 | 1 | as is where is | immediately |
108781 | VEECO | MS-35T | Turbopumped Leak Detector | 1 | as is where is | |||
109600 | Veeco Dimension | 3100 | Atomic Force Microscope | 1 | inquire | |||
106563 | Veeco Wyko | NT3300 | 3D Bump Height (Veeco) | 150 mm/200 mm | 1 | as is where is | immediately | |
83907 | VERIFLO CORP. | 42800147 | PRESSURE VALVE | 01.02.1987 | 1 | as is where is | immediately | |
103383 | Vero Electronics | 116-010069H | Monovolt PK120 Power Supply, +5V 20A | Spares | 30.04.2001 | 4 | as is where is | immediately |
108611 | Vision Semicon | VSP-88A(H) | Oven | 200 mm | 01.05.2005 | 1 | as is where is | immediately |
108612 | Vision Semicon | VSP-88H | Oven | 200 mm | 01.05.2005 | 1 | as is where is | immediately |
108613 | Vision Semicon | VSP-88H | Oven | 200 mm | 01.05.2007 | 1 | as is where is | immediately |
106755 | VISTEC | LWM9000 | CD SEM for 65 nm mask measurement | Reticle / 150 mm | 01.06.2005 | 1 | as is where is | immediately |
108895 | VLSI STANDARDS INC. | Various | Step Height Standards, Various Models, 12ea Available | SPARES | 1 | inquire | ||
111404 | VOTSCH | VC-4020 | Temperature and Humidity Chamber | Reliability | 1 | as is where is | immediately | |
77164 | Wasco | SV129-31W3A/2065 | Vacuum pressure switch 75 Torr | Spares | 1 | as is where is | immediately | |
82219 | WAVECREST | DTS-2070C (-52) | Credence Duo Wavecrest digital time controller | spares | 31.05.1999 | 2 | as is where is | immediately |