The following are the items available for sale related to S at SDI fabsurplus.com. To inquire about the S equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of S items.
SDI ID | Manufacturer | Model | Description | Version | Vintage | Q. ty | Sales Conditions | Lead Time |
---|---|---|---|---|---|---|---|---|
111360 | Accretech / TSK | UF3000EX | 01.01.2022 | 1 | as is where is | immediately | ||
109571 | Accretech / TSK | UF3000 | Fully Automated Prober | 300 mm | 01.05.2009 | 9 | as is where is | immediately |
111359 | Accretech / TSK | UF3000EX | Prober with HDD | 1 | as is where is | immediately | ||
95398 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
95399 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
95400 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
95401 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
95402 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
95403 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
54226 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 7 | as is where is | immediately |
108333 | Accretech/TSK | UF3000 | Production Wafer Prober | 300 mm | 7 | as is where is | ||
108548 | ADVANTEST | M6751AD | Test Handler | 200 MM | 1 | as is where is | ||
108549 | ADVANTEST | T5382A1 | Test System | TEST | 1 | as is where is | immediately | |
108550 | ADVANTEST | T5585 | Test System | 200 mm | 1 | as is where is | immediately | |
108551 | ADVANTEST | M6541AD | Test Handler | 200 mm | 1 | as is where is | ||
109575 | ADVANTEST | T5377 | Automated test Equipment | Test | 01.06.2010 | 6 | as is where is | immediately |
108552 | ADVANTEST | M6541AD | Test Handler | 200 mm | 01.12.2000 | 1 | as is where is | immediately |
109576 | ADVANTEST | T5593 | Automated test Equipment | Test | 01.06.2004 | 1 | as is where is | immediately |
108553 | ADVANTEST | M6541AD | Test Handler | 200 mm | 1 | as is where is | immediately | |
109577 | ADVANTEST | T5585 | Automated test Equipment | Test | 01.06.2001 | 1 | as is where is | immediately |
108554 | ADVANTEST | M6541AD | Test Handler | 200 mm | 1 | as is where is | ||
109068 | Advantest | M6541AD | Test handler with Cold Option | TEST | 1 | inquire | immediately | |
108045 | Advantest | M6771AD | TEST HANDLER | TEST | 1 | as is where is | ||
108048 | Advantest | T5371 | Automated test equipment | TEST | 5 | as is where is | ||
108050 | Advantest | T5585 | Automated test equipment | TEST | 1 | as is where is | ||
108057 | Advantest | T5381ES | ATE Tester | TEST | 3 | as is where is | ||
94490 | Advantest | T5375 | Automated Test Equipment | TEST | 2 | as is where is | immediately | |
108058 | Advantest | T5585 | ATE Tester | TEST | 1 | as is where is | ||
83498 | Advantest | BGR-016797 | ADVANTEST T5335P PC Board (was 80207) | 6 | as is where is | immediately | ||
83499 | Advantest | BGR-016796 | ADVANTEST T5335P PC Board | Spares | 5 | as is where is | immediately | |
83500 | Advantest | BGR-021096 | ADVANTEST T5335P PC Board | Spares | 3 | as is where is | immediately | |
83501 | Advantest | BGR-020765 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
83502 | Advantest | BGR-017418 | ADVANTEST T5335P PC Board HV PPS | Spares | 2 | as is where is | immediately | |
78639 | Advantest | T5335P (Spares) | Spare Boards from test system (See attached list for details) | TEST | 01.11.1997 | 1 | as is where is | immediately |
83503 | Advantest | BGK-017719 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
83504 | Advantest | BGK-011702 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
99379 | ADVANTEST | T5375 | High-Speed Flash Memory tester | TEST | 1 | as is where is | immediately | |
99380 | ADVANTEST | T5771ES | Automated Test Equipment for laboratory or office use | TEST | 1 | as is where is | immediately | |
89909 | Advantest | Hifix for PQFP80 (14 x 20) | Hi-fix for Advantest T5371 package type PQFP80 (14 x 20) | Spares | 31.05.2005 | 1 | as is where is | immediately |
76604 | Advantest | TR6846 | Digital Multimeter | Electronics test and measurement | 1 | as is where is | immediately | |
99388 | Advantest | Versatest V4000 | Automated Test Equipment for laboratory or office use | TEST | 01.06.2006 | 1 | as is where is | immediately |
100668 | Advantest | V3300 | Memory Tester | TEST | 1 | as is where is | ||
99389 | Advantest | V4000 | Automated Test Equipment | TEST | 1 | as is where is | immediately | |
99390 | Advantest | V4000 | Automated Test Equipment | TEST | 1 | as is where is | immediately | |
109120 | Advantest | V5000 | Automated Test equipment | TEST | 01.06.2010 | 11 | as is where is | immediately |
80194 | Advantest | BGR-020773 | ADVANTEST T5335P PC Board | Spares | 7 | as is where is | immediately | |
80195 | Advantest | BGR-020853 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
80196 | Advantest | BGR-020816X02 | ADVANTEST T5335P PC Board | 2 | as is where is | immediately | ||
80197 | Advantest | BGR-020774 rev x2 | ADVANTEST T5335P PC Board | Spares | 3 | as is where is | immediately | |
80198 | Advantest | BGR-020814 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | |
80199 | Advantest | BGR-020771 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | |
80200 | Advantest | BGR-020509 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | |
80201 | Advantest | BGR-020772 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | |
80202 | Advantest | BGR-017577 | ADVANTEST T5335P PC Board BGR-017575 | Spares | 2 | as is where is | ||
106570 | ADVANTEST | T5371 | Memory Tester | TEST | 01.06.2000 | 1 | as is where is | |
80203 | Advantest | BGR-019486 | ADVANTEST T5335P PC Board | Spares | 11 | as is where is | immediately | |
106571 | ADVANTEST | T5371 | Memory Tester | TEST | 01.06.2000 | 1 | as is where is | |
109131 | Advantest | T5585 | Memory Tester | TEST | 1 | as is where is | ||
80204 | Advantest | BGR-020851 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | |
106572 | ADVANTEST | T5585 | Memory Tester | TEST | 01.06.2001 | 1 | as is where is | |
109132 | Advantest | T5585 | Memory Tester | TEST | 1 | as is where is | ||
80205 | Advantest | BGR-017578 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
106573 | ADVANTEST | T5585 | Memory Tester | TEST | 1 | as is where is | ||
80206 | Advantest | BGR-017579 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
80207 | Advantest | BGR-016796 | ADVANTEST T5335P PC Board PGR-816796CC3 | Spares | 6 | as is where is | immediately | |
80208 | Advantest | BGR-018931 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
80209 | Advantest | BGR-016794 | PGR-816794CC3 PC Board | Spares | 2 | as is where is | immediately | |
80210 | Advantest | BGR-016793 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | |
83550 | Advantest | WUN-MONITORBOX | ADVANTEST power supply monitoring box, T5335P | Spares | 2 | as is where is | immediately | |
87652 | Advantest | T5371 | Test system (With a single test head ) | Test | 1 | as is where is | immediately | |
92006 | Advantest | BGR-016796 | ADVANTEST T5335P PC Board | spares | 1 | as is where is | immediately | |
92007 | Advantest | BGR-018822 | ADVANTEST T5335P PC Board | spares | 1 | as is where is | immediately | |
92008 | Advantest | BGR-017418 | ADVANTEST T5335P PC Board | spares | 1 | as is where is | immediately | |
92009 | Advantest | T5335P | Boards from an Advantest T5335P Test system | spares | 18 | as is where is | immediately | |
103812 | Advantest | T5335P | Automated Test System | test | 1 | as is where is | immediately | |
80293 | Advantest | BGR-019267 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
80294 | Advantest | BGR-020900 | ADVANTEST T5335P PC Board | Spares | 4 | as is where is | immediately | |
80295 | Advantest | BGR-019266 | ADVANTEST T5335P MRA I/F PC Board | Spares | 1 | as is where is | immediately | |
80296 | Advantest | BGR-017417 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
80297 | Advantest | BGR-018824 Rev X03 | ADVANTEST T5335P PC Board | Spares | 8 | as is where is | immediately | |
80298 | Advantest | BGR-018823 | ADVANTEST T5335P PC Board | Spares | 3 | as is where is | immediately | |
80299 | Advantest | BGR-018822 | ADVANTEST T5335P PC Board PGR-818822BB2 | Spares | 4 | as is where is | immediately | |
80300 | Advantest | BGR-018125 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
80301 | Advantest | BGR-020815 | ADVANTEST T5335P PC Board | Spares | 3 | as is where is | immediately | |
80302 | Advantest | BIR-021807 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
110772 | Advantest | M6542AD | TEST HANDLER WITH COLD OPTION | TEST | 1 | inquire | immediately | |
108233 | Advantest | M6451AD | TEST HANDLER | TEST | 01.06.2004 | 1 | as is where is | |
108234 | Advantest | M6451AD | TEST HANDLER | TEST | 01.06.2004 | 1 | as is where is | |
108235 | Advantest | M6451AD | TEST HANDLER | TEST | 01.06.2004 | 1 | as is where is | |
108236 | Advantest | M6451AD | TEST HANDLER | TEST | 01.06.2004 | 1 | as is where is | |
108237 | Advantest | M6451AD | TEST HANDLER | TEST | 01.06.2002 | 1 | as is where is | |
108238 | Advantest | M6451AD | TEST HANDLER | TEST | 01.06.2003 | 1 | as is where is | |
108239 | Advantest | M6451AD | TEST HANDLER | TEST | 01.06.2001 | 1 | as is where is | |
108240 | Advantest | M6451AD | TEST HANDLER | TEST | 01.06.2003 | 1 | as is where is | |
108241 | Advantest | M6751AD | TEST HANDLER | TEST | 01.06.2001 | 1 | as is where is | |
108242 | Advantest | T5371 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2001 | 1 | as is where is | |
108243 | Advantest | T5376 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2004 | 1 | as is where is | |
108244 | Advantest | T5376 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2004 | 1 | as is where is | |
108245 | Advantest | T5376 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2004 | 1 | as is where is | |
108246 | Advantest | T5376 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2004 | 1 | as is where is | |
108247 | Advantest | T5376 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2003 | 1 | as is where is | |
108248 | Advantest | T5376 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2002 | 1 | as is where is | |
108249 | Advantest | T5376 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2001 | 1 | as is where is | |
108250 | Advantest | T5376 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2003 | 1 | as is where is | |
109022 | Advantest | Versatest V6000 | Test Engineering Workstation for Office or Laboratory Use | Test | 01.05.2008 | 1 | as is where is | immediately |
109538 | ADVANTEST | 93000 PS1600 | Automated Test Equipment for SOC testing | TEST | 01.02.2020 | 1 | as is where is | immediately |
82926 | Advantest | WUN-H90554AIR | ADVANTEST air control unit | Spares | 1 | as is where is | immediately | |
108993 | Advantest / アドバンテスト | BGR-018823 REV X03 | PC BOARD FOR ADVANTEST T5335P | Spares | 1 | immediately | ||
108795 | AET TECHNOLOGIES | Four de Recuit Rapide | RTP Tool | 1 | inquire | |||
108720 | AG Associates | Heatpulse 410 | Rapid Thermal Processor | 1 | as is where is | |||
108796 | AG ASSOCIATES | Heatpulse 410 | Benchtop RTP Tool | 1 | inquire | |||
10544 | Agilent / Verigy / Keysight | 4261A | LCR METER | TEST | 1 | as is where is | immediately | |
76605 | Agilent / Verigy / Keysight | 41501B | SMU and Pulse Generator Expander 2 units | TEST | 01.05.2001 | 1 | as is where is | immediately |
18868 | Agilent / Verigy / Keysight | 5335A | Universal Frequency Counter | Electronics Test and Measurement | 1 | as is where is | immediately | |
18869 | Agilent / Verigy / Keysight | E4915A | Crystal impedance LCR meter | Electronics Test and Measurement | 1 | as is where is | immediately | |
79588 | Agilent / Verigy / Keysight | 1671G | Logic Analyzer | test | 01.09.2007 | 1 | as is where is | immediately |
79589 | Agilent / Verigy / Keysight | 1671G | Logic Analyzer | test | 30.09.2008 | 1 | as is where is | immediately |
80267 | Air Products | Precision Tool | Manometer 1.5 Bar | spares | 9 | as is where is | immediately | |
80268 | AIR PRODUCTS | R-704-VCR | PRESSURE REGULATORS TEST | APSA46/2250 | 30.04.1993 | 2 | as is where is | immediately |
83904 | AIR PRODUCTS | F-074-VCR | PRESSURE REGULATOR 10-50L MIN HCL | P/N: 101203-2 | 01.10.1989 | 1 | as is where is | immediately |
108721 | AIR SYSTEM INTL | SVB-E8EXP | Electric Blower, Explosion Proof | FACILITIES | 1 | as is where is | immediately | |
108797 | AIRCO TEMESCAL | CV-8-210 | E Beam Power Supply Controller, 3ea Available - Parts Only | SPARES | 1 | inquire | ||
108726 | ALESSI | REL-4500 | Analytical Wafer Prober with 6" (dia.) Gold Plated Chuck | 150 mm | 1 | inquire | immediately | |
108798 | ALESSI | REL- Series 5000 | Analytical Prober with Mitutoyo FS-70 Zoom Microscope with LWD Objective Lenses, New Wave QuikLaze 532/355 Laser with 50X Lens, Missing Computer | 1 | inquire | |||
108799 | ALESSI | REL-4100A | Analytical Prober | 1 | inquire | |||
100700 | Alphasem | DB 608-PRL | Die Sort System | Assembly | 01.09.1996 | 1 | as is where is | immediately |
108727 | ALPHASEM | Swissline 9006 (Spares) | Automatic Epoxy Die Bonder, Parts Tool Only | 200 mm | 01.04.1993 | 1 | as is where is | immediately |
74261 | AMI Presco | ACL-TS | Solar wafer picker tool | 31.12.2006 | 1 | as is where is | immediately | |
77188 | AMI Presco | USP206-2E | Solar wafer conveyor, 156mm for use with screen printers, etc. | 156mm | 1 | as is where is | immediately | |
110711 | AP & S | AWP | Fully automated Wet Bench | 200 MM | 01.06.1997 | 1 | as is where is | immediately |
34740 | AP & S | TwinStep-B H3P04 | Semi-Automatic Wet Bench, used for H3PO4 with a 2 stage Megasonic and QDR | 200 mm | 01.10.2005 | 1 | as is where is | immediately |
100908 | AP Systems | KORONA-1200P | METAL RTP | 300 mm | 31.05.2005 | 1 | as is where is | |
108132 | APPLIED BIOSYSTEM | ABI-3700 ANALYZER | Automatic Sequencer | 01.06.2000 | 1 | as is where is | ||
110848 | Applied Materials | IT1011-N31-X4 | SMC UPA Regulator (X4) - CMP HEAD | Spares | 5 | inquire | ||
110849 | Applied Materials | 0090-00963 | UPA Pressure Transducer - CMP HEAD | Spares | 5 | inquire | ||
110850 | Applied Materials | 0140-77300 | Wafer loss sensor Cable - CMP HEAD | Spares | 5 | inquire | ||
110851 | Applied Materials | 0140-77631 | Head Rotation/ Resolver cable - CMP HEAD | Spares | 5 | inquire | ||
100868 | Applied Materials | Mirra Mesa | CMP System | 200 mm | 1 | inquire | 5 months | |
110852 | Applied Materials | 0021-77866 | Drive spindle clamp - CMP HEAD | Spares | 5 | inquire | ||
108037 | Applied Materials | Various Spare Parts | Spare Parts for sale | Spares | 1 | as is where is | immediately | |
110853 | Applied Materials | 0190-77116 | Flexible disk - CMP HEAD | Spares | 5 | inquire | ||
110854 | Applied Materials | EE-SX672 | Sweep Home flag sensor - CMP HEAD | Spares | 5 | inquire | ||
109063 | Applied Materials | Mirra Mesa | Oxide CMP system, with SMIF | 200 mm | 1 | inquire | 1 month | |
110855 | Applied Materials | 0140-7763 | Head Rotation Power cable HD 1 - CMP HEAD | Spares | 5 | inquire | ||
110856 | Applied Materials | 0140-7764 | Head Rotation Power cable HD 2 - CMP HEAD | Spares | 5 | inquire | ||
100873 | Applied Materials | Mirra Mesa Integrated | Oxide/STI CMP | 200 mm | 31.05.1999 | 1 | inquire | |
110857 | Applied Materials | 0140-7765 | Head Rotation Power cable HD3 - CMP HEAD | Spares | 5 | inquire | ||
110858 | Applied Materials | 0140-7766 | Head Rotation Power cable HD4 - CMP HEAD | Spares | 5 | inquire | ||
110859 | Applied Materials | MIRRA MESA (SPARE PARTS) | Head sweep linear guide - CMP HEAD | Spares | 5 | inquire | ||
108556 | Applied Materials | Uvision 600SP | Brightfield Inspection System | 300 mm | 01.05.2008 | 1 | as is where is | immediately |
110860 | Applied Materials | 0100-77040 | Head Pneumatics board Titan - CMP HEAD | Spares | 5 | inquire | ||
108557 | Applied Materials | Centura EPI | Epitaxial Deposition, reduced pressure, 2 chamber | 300 mm | 01.05.2002 | 1 | as is where is | immediately |
110861 | Applied Materials | MIRRA MESA (SPARE PARTS) | Wafer loss sensor pcb - CMP HEAD | Spares | 5 | inquire | ||
108558 | Applied Materials | ENDURA CL | PVD Cluster tool | 300 mm | 01.05.2002 | 1 | as is where is | |
109582 | Applied Materials | P5000 | CVD SiN Process with 2 x CVD chambers | 150 MM | 01.06.1989 | 1 | as is where is | immediately |
110862 | Applied Materials | 0190-38704 | SMC2000 - Node 24 - CMP Cleaner | Spares | 5 | inquire | ||
108559 | Applied Materials | ENDURA II Chamber | PVD | 300 mm | 01.05.2006 | 1 | as is where is | immediately |
109583 | Applied Materials | P5000 | CVD TEOS Process with 2 x CVD chambers | 150 MM | 01.06.1989 | 1 | as is where is | immediately |
110863 | Applied Materials | 0040-64397 | Megasonic Halar Tank - CMP Cleaner | Spares | 5 | inquire | ||
108560 | Applied Materials | ENDURA II Chamber | PVD | 300 mm | 01.05.2018 | 1 | as is where is | immediately |
110864 | Applied Materials | MS1-286X70-4A-Q | Megasonic Transducer Plate - CMP Cleaner | Spares | 5 | inquire | ||
108561 | Applied Materials | ENDURA II Chamber | PVD | 300 mm | 01.05.2018 | 1 | as is where is | immediately |
110865 | Applied Materials | AP-50 | Megasonic White Knight Pump - CMP Cleaner | Spares | 5 | inquire | ||
110866 | Applied Materials | Mirra Mesa (Spare parts) | mega genentor - CMP Cleaner | Spares | 5 | inquire | ||
110867 | Applied Materials | ETN23A-SC-B (Orion Pel-Thermo) | Megasonic Heat Exchanger - CMP Cleaner | Spares | 5 | inquire | ||
110868 | Applied Materials | 0021-79131 | Walking Beam Finger Pins - CMP Cleaner | Spares | 5 | inquire | ||
110869 | Applied Materials | 0040-43238 | Solid PEEK mega rollar - CMP Cleaner | Spares | 5 | inquire | ||
91158 | Applied Materials | Centura WCVD | WSIX(OPTIMA) | 200 mm | 31.05.2000 | 1 | inquire | |
96534 | Applied Materials | Mirra Mesa | CMP system | 200 mm | 1 | inquire | ||
110870 | Applied Materials | 0190-77181 | Brush Position Roller Servomotor Scrubber - CMP Cleaner | Spares | 5 | inquire | ||
91159 | Applied Materials | Centura WCVD | WSIX(OPTIMA) | 200 mm | 31.05.2001 | 1 | inquire | |
110871 | Applied Materials | 0190-77182 | Scrubber Brush Servomotor - CMP Cleaner | Spares | 5 | inquire | ||
91160 | Applied Materials | Centura WCVD | WxZ Optima | 200 mm | 1 | as is where is | ||
110872 | Applied Materials | 0190-77212 | Output Station Servo Motor - CMP Cleaner | Spares | 5 | inquire | ||
96537 | Applied Materials | Mirra Ontrak | Poly/STI CMP | 200 mm | 31.05.1999 | 1 | inquire | |
110873 | Applied Materials | Mirra Mesa (Spare parts) | SRD finger set - CMP Cleaner | Spares | 5 | inquire | ||
91162 | Applied Materials | Centura WCVD | WSIX(OPTIMA) | 200 mm | 1 | as is where is | ||
96538 | Applied Materials | Mirra Ontrak | Poly/STI CMP | 200 mm | 31.05.1999 | 1 | inquire | |
110874 | Applied Materials | 0190-77200 | SRD Servo Motor - CMP Cleaner | Spares | 5 | inquire | ||
108059 | Applied Materials | CENTURA 2 DPS | Deep Trench Etcher, 2 chambers | 150 mm | 1 | as is where is | ||
110875 | Applied Materials | 1080-01207 | Walking Beam Servo Motor x - CMP Cleaner | Spares | 5 | inquire | ||
108060 | Applied Materials | Centura 5300 HDP Omega | Dry etch cluster tool with 2 Chambers SIO2 etch | 200 mm | 1 | as is where is | ||
110620 | Applied Materials | Centura Enabler | Dry etcher | 300 mm | 1 | as is where is | ||
110876 | Applied Materials | 1080-01207 | Walking Beam Servo Motor y - CMP Cleaner | Spares | 5 | inquire | ||
97053 | Applied Materials | ENDURA2 Chamber only | Amber-(Ti) chamber | 300 mm | 1 | as is where is | immediately | |
110877 | Applied Materials | 0090-77173 | Wafer Present Switch - CMP Cleaner | Spares | 5 | inquire | ||
97054 | Applied Materials | ENDURA2 Chamber only | ESIP chamber | 300 mm | 1 | as is where is | immediately | |
110878 | Applied Materials | 0010-04118 | Wafer Presence Sensor - CMP Cleaner | Spares | 5 | inquire | ||
110879 | Applied Materials | 0090-00915 | Megasonice progammable level sensor - CMP Cleaner | Spares | 5 | inquire | ||
91168 | Applied Materials | Centura WCVD | WSIX(OPTIMA) | 200 mm | 31.05.2000 | 1 | inquire | |
109088 | Applied Materials | SEMVISION G3 Lite | Defect Review SEM | 300 mm | 01.08.2007 | 1 | as is where is | 6 months |
110880 | Applied Materials | 0090-00916 | Megasonic Tank High Level Switch - CMP Cleaner | Spares | 5 | inquire | ||
97057 | Applied Materials | ENDURA2 Chamber only | MOALD (IMP Ti) Chamber | 300 mm | 1 | as is where is | immediately | |
110881 | Applied Materials | 0090-00917 | Megasonic Tank Level Level Switch - CMP Cleaner | Spares | 5 | inquire | ||
110882 | Applied Materials | 0090-77239 | Harness, H202 HH Level Sensor - CMP Cleaner | Spares | 5 | inquire | ||
110883 | Applied Materials | 0090-77240 | Harness, H202 High Level Sensor - CMP Cleaner | Spares | 5 | inquire | ||
110884 | Applied Materials | 0090-77241 | Harness, H202 Low Level Sensor - CMP Cleaner | Spares | 5 | inquire | ||
97061 | Applied Materials | ENDURA2 Chamber Only | WSI chamber only | 300 mm | 1 | as is where is | immediately | |
110885 | Applied Materials | 0090-77242 | Harness, H202 LL Level Sensor - CMP Cleaner | Spares | 5 | inquire | ||
97062 | Applied Materials | ENDURA2 Chamber Only | WSI chamber only | 300 mm | 1 | as is where is | immediately | |
110886 | Applied Materials | 1400-01056 | Input Shuttle Limit Sensors - CMP Cleaner | Spares | 5 | inquire | ||
109095 | Applied Materials | 0010-10527 | Polyimide ESC PEDESTAL, MxP-5200 Poly 8" Flat | 200 mm | 1 | as is where is | immediately | |
110887 | Applied Materials | 0090-77203 | Shuttle Wafer Presence Switch - CMP Cleaner | Spares | 5 | inquire | ||
109352 | Applied Materials | 0090-05530 | RF GENERATOR | Spares | 1 | as is where is | ||
110888 | Applied Materials | 0090-77179 | Gripper sensor harness, arm 1 - CMP Cleaner | Spares | 5 | inquire | ||
109353 | Applied Materials | 0090-05530 | RF GENERATOR | Spares | 1 | as is where is | ||
110889 | Applied Materials | 0090-77180 | Gripper sensor harness, arm 2 - CMP Cleaner | Spares | 5 | inquire | ||
109354 | Applied Materials | P-5000 | RF GENERATOR | Spares | 1 | as is where is | ||
110890 | Applied Materials | 0090-77181 | Gripper sensor harness, arm 3 - CMP Cleaner | Spares | 5 | inquire | ||
109355 | Applied Materials | P-5000 | RF GENERATOR | Spares | 1 | as is where is | ||
110891 | Applied Materials | 0090-77182 | Gripper sensor harness, arm 4 - CMP Cleaner | Spares | 5 | inquire | ||
109356 | Applied Materials | PVD RF MATCH | RF GENERATOR | Spares | 1 | as is where is | ||
110892 | Applied Materials | 0090-77183 | Gripper sensor harness, arm 5 - CMP Cleaner | Spares | 5 | inquire | ||
100909 | Applied Materials | CENTURA DPS ll MESA T2 | Poly Etcher | 300 mm | 31.05.2015 | 1 | as is where is | |
110893 | Applied Materials | 1400-01055 | Photosensor Interrupter L Shape W/LED & Amp - CMP Cleaner | Spares | 5 | inquire | ||
91182 | Applied Materials | P5000 CVD | Delta Teos | 150 mm | 31.05.1993 | 1 | as is where is | |
100910 | Applied Materials | CENTURA DPS ll MESA T2 | Poly Etcher | 300 mm | 31.05.2015 | 1 | as is where is | |
109358 | Applied Materials | e-MAX RF MATCH | RF GENERATOR | Spares | 6 | as is where is | ||
110894 | Applied Materials | 0090-00861 | Harness, Wafer Present Switch - CMP Cleaner | Spares | 5 | inquire | ||
91183 | Applied Materials | P5000 CVD | TEOS, DxL | 200 mm | 1 | as is where is | ||
100911 | Applied Materials | CENTURA DPS ll MESA T2 | Poly Etcher | 300 mm | 31.05.2016 | 1 | as is where is | |
109359 | Applied Materials | HDP-TOP | RF GENERATOR | Spares | 1 | as is where is | ||
110895 | Applied Materials | 0021-79644 | Megtank Roller holder - CMP Cleaner | Spares | 5 | inquire | ||
109360 | Applied Materials | MARKⅡDPS | RF GENERATOR | Spares | 6 | as is where is | ||
110896 | Applied Materials | 0010-77582 | Idler Assembly - CMP Cleaner | Spares | 5 | inquire | ||
91185 | Applied Materials | P5000 CVD | DxL | 150 mm | 31.05.1995 | 1 | as is where is | |
100913 | Applied Materials | DPS II | AE Poly G3 dry etcher | 300 mm | 31.05.2006 | 1 | as is where is | |
103473 | Applied Materials | CENTURA DPS G3 | Poly 1ch / Mesa 1ch | 300 mm | 31.05.2007 | 1 | as is where is | |
108337 | Applied Materials | Centris AdvantEdge G5 Mesa T2 Poly | Polysilicon Etch with qty 6 MESA 2 chambers | 300 mm | 1 | as is where is | immediately | |
109361 | Applied Materials | P-5000 POLY | RF GENERATOR | Spares | 1 | as is where is | ||
110897 | Applied Materials | 0015-77174 | Brush wafer roller motor pulley - CMP Cleaner | Spares | 5 | inquire | ||
100914 | Applied Materials | DPS II | AE Poly G3 dry etcher | 300 mm | 31.05.2007 | 1 | as is where is | |
103474 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 31.05.2003 | 1 | as is where is | |
109362 | Applied Materials | RF MATCH3 | RF GENERATOR | Spares | 1 | as is where is | ||
110898 | Applied Materials | 0010-05398 | zaytran assy dry and wet set - CMP Cleaner | Spares | 5 | inquire | ||
103475 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 31.05.2005 | 1 | as is where is | |
110899 | Applied Materials | 0010-77380 | Lower Stopper Assembly - CMP Cleaner | Spares | 5 | inquire | ||
103476 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 31.05.2010 | 1 | as is where is | |
110900 | Applied Materials | 0010-77323 | Brush gear assy - CMP Cleaner | Spares | 5 | inquire | ||
91189 | Applied Materials | P5000 CVD | DxL | 200 mm | 1 | as is where is | ||
103477 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
110901 | Applied Materials | 0015-77287 | Pulley Driver - CMP Cleaner | Spares | 5 | inquire | ||
91190 | Applied Materials | P5000 CVD | DxL | 200 mm | 1 | as is where is | ||
103478 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
110902 | Applied Materials | 0190-77502 | Vessel, Pressure, 2-Chamber - CMP Cleaner | Spares | 5 | inquire | ||
110903 | Applied Materials | 0190-77397 | Chem Vessel, 2.4 Liter - CMP Cleaner | Spares | 5 | inquire | ||
91192 | Applied Materials | P5000 Mark-II CVD | TEOS | 200 mm | 1 | as is where is | ||
109112 | Applied Materials | Verity 2 | CD MEASUREMENT SEM | 200 mm | 01.06.2004 | 1 | immediately | |
110904 | Applied Materials | 0190-77393 | Bleed Valve Manifold - CMP Cleaner | Spares | 5 | inquire | ||
91193 | Applied Materials | P5000 Mark-II CVD | TEOS | 150 mm | 1 | as is where is | ||
108345 | Applied Materials | Centura AP AdvantEdge G5 Metal | Metal Etch | 300 mm | 7 | as is where is | ||
110905 | Applied Materials | 3220-01136 | Coupling shaft, Walking beam Y-axis Motor - CMP Cleaner | Spares | 5 | inquire | ||
83514 | Applied Materials | Opal 7830i Enhanced | CD MEASUREMENT SEM FOR 200 mm / 150 mm wafers | 100 mm to 200 mm | 01.05.1997 | 1 | as is where is | immediately |
91194 | Applied Materials | P5000 Mark-II CVD | DxL | 200 mm | 1 | as is where is | ||
103482 | Applied Materials | DPS SILVIA | Silvia 2ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
110906 | Applied Materials | 1010-01437 | Quartz Infrared Halogen Lamp - CMP Cleaner | Spares | 5 | inquire | ||
11579 | Applied Materials | 9200 (Spares for) | IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT | 200 mm | 01.06.1999 | 1 | inquire | immediately |
91195 | Applied Materials | P5000 Mark-II CVD | TEOS | 200 mm | 1 | as is where is | ||
103483 | Applied Materials | DPS2 | Poly Etcher | 300 mm | 1 | as is where is | ||
108347 | Applied Materials | Centura AP AdvantEdge G5 Poly | Polysilicon Etch | 300 mm | 2 | as is where is | ||
110907 | Applied Materials | 0190-36511 | Devicenet I/O Block Specification - CMP Cleaner | Spares | 5 | inquire | ||
91196 | Applied Materials | P5000 Mark-II CVD+Etch | Sputter | 200 mm | 1 | as is where is | ||
103484 | Applied Materials | DPS2 | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
108348 | Applied Materials | Centura AP ASP II - Chamber Only | Metal Etch | 300 mm | 1 | as is where is | ||
110908 | Applied Materials | 0870-01038 | 200V 100W SGDA - CMP Cleaner | Spares | 5 | inquire | ||
103485 | Applied Materials | DPS2 AE | Poly Etcher | 300 mm | 1 | as is where is | ||
108349 | Applied Materials | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300 mm | 3 | as is where is | ||
110909 | Applied Materials | 0870-01040 | 200V 200W SGDA - CMP Cleaner | Spares | 5 | inquire | ||
103486 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
110910 | Applied Materials | 0870-01039 | 200V 400W SGDA - CMP Cleaner | Spares | 5 | inquire | ||
91199 | Applied Materials | P5000 Mark-II CVD+PVD | TEOS 2Ch, SPUTTER 2Ch | 200 mm | 01.05.1997 | 1 | as is where is | |
103487 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
107327 | Applied Materials | Vectra Match | RF Match | Spares | 1 | inquire | immediately | |
110911 | Applied Materials | 1140-01353 | Power Supply, DC 24V 4A 100W 115/230VAC SDN 4-24-100 (SL4) - CMP Cleaner | Spares | 5 | inquire | ||
103488 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
110912 | Applied Materials | 1140-01367 | Power Supply, DC 24V 5A 120W 115/230VAC SDN 5-24-100 (SL5) - CMP Cleaner | Spares | 5 | inquire | ||
91201 | Applied Materials | P5000 Mark-II CVD+Etch | TEOS 2Ch, Sputter 2Ch | 200 mm | 01.05.2000 | 1 | as is where is | |
103489 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
110913 | Applied Materials | 1140-01368 | Power Supply, DC 24V 10A 240W 115/230VAC SDN 10-24-100 (SL10) - CMP Cleaner | Spares | 5 | inquire | ||
103490 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
110914 | Applied Materials | 0010-77682 | Megasonics Lower Electronics - CMP Cleaner | Spares | 5 | inquire | ||
103491 | Applied Materials | DPS2 AE | MESA (Dry Etch) | 300 mm | 1 | as is where is | ||
110915 | Applied Materials | 0010-77680 | SRD Lower Electronics - CMP Cleaner | Spares | 5 | inquire | ||
91204 | Applied Materials | P5000 WCVD | WxL | 200 mm | 1 | as is where is | ||
103492 | Applied Materials | DPS2 AE | MINOS (Dry Etch) | 300 mm | 31.05.2005 | 1 | as is where is | |
108356 | Applied Materials | Endura II Chamber: CIP W PVD | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | ||
110916 | Applied Materials | 0010-77681 | System Lower Electronics - CMP Cleaner | Spares | 5 | inquire | ||
91205 | Applied Materials | P5000 WCVD | WxL | 200 mm | 1 | as is where is | ||
103493 | Applied Materials | DPS2 AE | MINOS (Dry Etch) | 300 mm | 31.05.2006 | 1 | as is where is | |
108357 | Applied Materials | Endura II Front-End Metallization | PVD (Physical Vapor Deposition) | 300 mm | 3 | as is where is | ||
110661 | APPLIED MATERIALS | UVISION 5 | Bright Field Inspection | 300 mm | 01.06.2011 | 1 | as is where is | immediately |
110917 | Applied Materials | 0190-06673 | Fabs Robot Assy - CMP FABS | Spares | 5 | inquire | ||
103494 | Applied Materials | DPS2 AE | MESA (Dry Etch) | 300 mm | 31.05.2019 | 1 | as is where is | |
110918 | Applied Materials | 3350-50000 | Fabs Robot Ceramic Blade - CMP FABS | Spares | 5 | inquire | ||
103495 | Applied Materials | DPS2 AE | MESA (Dry Etch) | 300 mm | 31.05.2019 | 1 | as is where is | |
108359 | Applied Materials | Oasis Clean | Batch Wafer Processing | 300 mm | 3 | as is where is | ||
110919 | Applied Materials | Mirra Mesa (Spare parts) | RORZE RD-023MS motor driver - CMP FABS | Spares | 5 | inquire | ||
91208 | Applied Materials | Producer GT Chamber (A) | SICONI Chamber only | 300 mm | 1 | as is where is | ||
103496 | Applied Materials | DPS2 AE | MESA (Dry Etch) | 300 mm | 31.05.2019 | 1 | as is where is | |
108360 | Applied Materials | Producer Etch eXT Dielectric | Dielectric Etch | 300 mm | 3 | as is where is | ||
110920 | Applied Materials | Mirra Mesa (Spare parts) | RC233 Generate master - CMP FABS | Spares | 5 | inquire | ||
91209 | Applied Materials | Producer GT Chamber (B) | SICONI Chamber only | 300 mm | 1 | as is where is | ||
110921 | Applied Materials | Mirra Mesa (Spare parts) | RC204A I/O master - CMP FABS | Spares | 5 | inquire | ||
110922 | Applied Materials | Mirra Mesa (Spare parts) | RD-026MSA Step driver - CMP FABS | Spares | 5 | inquire | ||
103499 | Applied Materials | ENDURA 2 | CH-1_TTN/CH-2_AL/CH-3_TTN/ CH-C&D_PCXT/CH-E&F_Degas | 300 mm | 31.05.2017 | 1 | as is where is | |
110923 | Applied Materials | Mirra Mesa (Spare parts) | SANYO DENKI, Stepping Motor, Substitute loadport lifter motor - CMP FABS | Spares | 5 | inquire | ||
103500 | Applied Materials | ENDURA 2 CH | Preclean XT Chamber | 300 mm | 31.05.2001 | 1 | as is where is | |
110924 | Applied Materials | 0100-13016 | Assembly, PCB, Controller I/O Backplane PCB for MIRRA Controller - CMP controller | Spares | 5 | inquire | ||
103501 | Applied Materials | ENDURA 2 CH | Preclean XT Chamber | 300 mm | 31.05.2008 | 1 | as is where is | |
109133 | Applied Materials | AERA 4 | Reticle Inspection | RETICLE | 1 | as is where is | ||
110925 | Applied Materials | ModelUDK5128NA | Head Sweep Driver - CMP controller | Spares | 5 | inquire | ||
103502 | Applied Materials | ENDURA 2 CH | Preclean XT Chamber | 300 mm | 1 | as is where is | ||
109134 | Applied Materials | Centura 5200 Ti/TiN MCVD | Metal CVD (Chemical Vapor Deposition) | 200mm | 1 | as is where is | ||
110926 | Applied Materials | 1110-01058 | Port Server -Digi EL16 with PS - CMP controller | Spares | 5 | inquire | ||
103503 | Applied Materials | ENDURA 2 CHAMBER ONLY | Remote Plasma Clean Chamber | 300 mm | 31.05.2004 | 1 | as is where is | |
106575 | Applied Materials | Centura AP Ultima Chamber | HDPCVD Chamber only | 300 mm | 1 | as is where is | ||
109135 | Applied Materials | Centura 5200 Ti/TiN MCVD | Metal CVD (Chemical Vapor Deposition) | 200mm | 1 | as is where is | ||
110927 | Applied Materials | 0660-01820 | Applied Material MEI Board - CMP controller | Spares | 5 | inquire | ||
103504 | Applied Materials | ENDURA 2 CHAMBER ONLY | Remote Plasma Clean Chamber | 300 mm | 31.05.2006 | 1 | as is where is | |
106576 | Applied Materials | CENTURA DPS G3 | Poly 2ch / Mesa 1ch | 300 mm | 01.06.2001 | 1 | as is where is | |
109136 | Applied Materials | Centura AP AdvantEdge G5 Mesa Poly | Polysilicon Etch | 300mm | 1 | as is where is | ||
110928 | Applied Materials | 0100-09054 | Applied Material AIO Board - CMP controller | Spares | 5 | inquire | ||
103505 | Applied Materials | ENDURA 2 CHAMBER ONLY | Remote Plasma Clean Chamber | 300 mm | 31.05.2010 | 1 | as is where is | |
106065 | Applied Materials | Mirra ® 3400 | Stand-Alone CMP System | 200 mm | 01.06.2001 | 1 | as is all rebuilt | immediately |
106577 | Applied Materials | CENTURA DPS G3 | Poly 3ch | 300 mm | 01.06.2006 | 1 | as is where is | |
109137 | Applied Materials | Centura AP AdvantEdge G5 Mesa T2 Poly | Polysilicon Etch | 300mm | 1 | as is where is | ||
110929 | Applied Materials | 0100-20003 | DIO board - CMP controller | Spares | 5 | inquire | ||
103506 | Applied Materials | ENDURA CL | PVD | 300 mm | 31.05.2010 | 1 | as is where is | |
106578 | Applied Materials | CENTURA DPS G3 | Poly 3ch | 300 mm | 01.06.2006 | 1 | as is where is | |
109138 | Applied Materials | Centura AP AdvantEdge G5 Mesa T2 Poly | Polysilicon Etch | 300mm | 1 | as is where is | ||
110930 | Applied Materials | 0100-77035 | Robot X Track board - CMP controller | Spares | 5 | inquire | ||
106579 | Applied Materials | CENTURA DPS G3 | Poly 3ch / Axiom 1ch | 300 mm | 01.06.2013 | 1 | as is where is | |
109139 | Applied Materials | Centura AP AdvantEdge G5 Metal | Metal Etch | 300mm | 1 | as is where is | ||
110931 | Applied Materials | 0190-14372 | Pad Cond Driver SGDA-01AS - CMP controller | Spares | 5 | inquire | ||
103508 | Applied Materials | PRODUCER GT | Ht ACL 3ch / Server OS Type | 300 mm | 31.05.2014 | 1 | as is where is | |
106580 | Applied Materials | CENTURA DPS G3 | Poly 3ch / Axiom 1ch | 300 mm | 01.06.2010 | 1 | as is where is | |
109140 | Applied Materials | Centura AP AdvantEdge G5 Metal | Metal Etch | 300mm | 1 | as is where is | ||
110932 | Applied Materials | SGDB-44ADG | Platen Driver - CMP controller | Spares | 5 | inquire | ||
103509 | Applied Materials | PRODUCER GT | BD2_CU | 300 mm | 31.05.2002 | 1 | as is where is | |
106581 | Applied Materials | CENTURA DPS G5 MESA | Poly 3ch / AXIOM 1ch / Server OS Type | 300 mm | 01.06.2011 | 1 | as is where is | |
109141 | Applied Materials | Centura AP AdvantEdge G5 Metal | Metal Etch | 300mm | 1 | as is where is | ||
110933 | Applied Materials | SGDA-04AS | Cross driver - CMP controller | Spares | 5 | inquire | ||
103510 | Applied Materials | PRODUCER SE | CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type | 300 mm | 31.05.2003 | 1 | as is where is | |
106582 | Applied Materials | CENTURA MCVD | WxZ Optima | 200 mm | 01.06.2001 | 1 | as is where is | |
109142 | Applied Materials | Centura AP AdvantEdge G5 Metal | Metal Etch | 300mm | 1 | as is where is | ||
110934 | Applied Materials | SGDA-02AS | Robot x driver - CMP controller | Spares | 5 | inquire | ||
103511 | Applied Materials | PRODUCER SE | Ht_SiN 2ch / Server OS Type | 300 mm | 1 | as is where is | ||
106583 | Applied Materials | CENTURA 5200 DPS | Poly Etcher | 200 mm | 01.06.1999 | 1 | as is where is | |
109143 | Applied Materials | Centura AP AdvantEdge G5 Poly | Polysilicon Etch | 300mm | 1 | as is where is | ||
110935 | Applied Materials | 0870-01080 | Vexta Wet Robot Driver - CMP controller | Spares | 5 | inquire | ||
103512 | Applied Materials | RAIDER | ECD Copper Electroplating System | 300 mm | 31.05.2005 | 1 | as is where is | |
106584 | Applied Materials | ENDURA 2 (Gray Rack) | 3CH (WxZ) | 300 mm | 01.06.2007 | 1 | as is where is | |
109144 | Applied Materials | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300mm | 1 | as is where is | ||
110936 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 10 Amp - CMP controller | Spares | 5 | inquire | ||
103513 | Applied Materials | RAIDER | ECD Copper Electroplating System | 300 mm | 01.05.2006 | 1 | as is where is | |
106585 | Applied Materials | ENDURA 2 Chamber Only | ALPS | 300 mm | 1 | as is where is | ||
109145 | Applied Materials | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300mm | 1 | as is where is | ||
110937 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 1 Amp - CMP controller | Spares | 5 | inquire | ||
106586 | Applied Materials | ENDURA 2 Chamber Only | MOALD (IMP TiN) | 300 mm | 1 | as is where is | ||
109146 | Applied Materials | Centura AP DPS AdvantEdge G2 Poly | Polysilicon Etch | 300mm | 1 | as is where is | ||
110938 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 4 Amp - CMP controller | Spares | 5 | inquire | ||
103515 | Applied Materials | Vantage | RTP cluster tool with Server OS Type | 300 mm | 01.12.2014 | 1 | as is where is | immediately |
106587 | Applied Materials | ENDURA 2 CHAMBER ONLY | PCXT | 300 mm | 01.06.2019 | 1 | as is where is | |
109147 | Applied Materials | Centura AP DPS AdvantEdge G2 Poly | Polysilicon Etch | 300mm | 1 | as is where is | ||
110939 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 2 Amp - CMP controller | Spares | 5 | inquire | ||
106588 | Applied Materials | ENDURA 2 Chamber Only | PCXT | 300 mm | 01.06.2019 | 1 | as is where is | |
109148 | Applied Materials | Centura AP DPS AdvantEdge G2 Poly | Polysilicon Etch | 300mm | 1 | as is where is | ||
110684 | Applied Materials | Sting | Dark Field Defect Inspection | 300 mm | 01.06.2009 | 1 | as is where is | immediately |
110940 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 5 Amp - CMP controller | Spares | 5 | inquire | ||
106589 | Applied Materials | ENDURA 2 Chamber Only | PCXT | 300 mm | 01.06.2018 | 1 | as is where is | |
109149 | Applied Materials | ComPLUS MP | Darkfield Inspection | 200mm | 1 | as is where is | ||
110941 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 7 Amp - CMP controller | Spares | 5 | inquire | ||
106590 | Applied Materials | ENDURA 2 Chamber Only | PCXT | 300 mm | 01.06.2018 | 1 | as is where is | |
109150 | Applied Materials | ComPLUS MP | Darkfield Inspection | 200mm | 1 | as is where is | ||
110942 | Applied Materials | 0190-03358 | AMAT Power supply SP664 - CMP controller | Spares | 5 | inquire | ||
106591 | Applied Materials | ENDURA 2 Chamber Only | PCXT | 300 mm | 01.06.2019 | 1 | as is where is | |
109151 | Applied Materials | Endura II Chambers: Multiple | PVD (Physical Vapor Deposition) | 300mm | 1 | as is where is | ||
110687 | Applied Materials | Aera 2 | Photomask Inspection System | 300 mm | 01.06.2009 | 1 | as is where is | immediately |
110943 | Applied Materials | 0110-77037 | Controller Interlock Board 1 - CMP controller | Spares | 5 | inquire | ||
106592 | Applied Materials | ENDURA 2 CHAMBER ONLY | RPC | 300 mm | 01.06.2007 | 1 | as is where is | |
109152 | Applied Materials | Producer SE PECVD SILANE | PECVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | ||
110944 | Applied Materials | 0110-77038 | Controller Interlock Board 2 - CMP controller | Spares | 5 | inquire | ||
106593 | Applied Materials | ENDURA 2 CHAMBER ONLY | SIP Ti | 300 mm | 1 | as is where is | ||
109153 | Applied Materials | Reflexion - Dielectric | Dielectric CMP | 300mm | 1 | as is where is | ||
110945 | Applied Materials | Mirra Mesa (Spare parts) | CPU 3 - CMP controller | Spares | 5 | inquire | ||
106594 | Applied Materials | ENDURA 2 CHAMBER ONLY | SIP Ti | 300 mm | 1 | as is where is | ||
109154 | Applied Materials | Reflexion LK Oxide | Dielectric CMP | 300mm | 1 | as is where is | ||
110946 | Applied Materials | Mirra Mesa (Spare parts) | SEI Board - CMP controller | Spares | 5 | inquire | ||
106595 | Applied Materials | ENDURA 2 Chamber Only | SIP Ti | 300 mm | 1 | as is where is | ||
110947 | Applied Materials | Mirra Mesa (Spare parts) | SST dnet board - CMP controller | Spares | 5 | inquire | ||
106596 | Applied Materials | ENDURA 2 Chamber Only | SIP Ti | 300 mm | 1 | as is where is | ||
110948 | Applied Materials | Mirra Mesa (Spare parts) | interface board - CMP controller | Spares | 5 | inquire | ||
106597 | Applied Materials | ENDURA 2 Chamber Only | SIP Ti | 300 mm | 1 | as is where is | ||
108133 | Applied Materials | CENTURA MCVD | WxZ Optima | 200 mm | 01.06.2000 | 1 | as is where is | |
110949 | Applied Materials | Mirra Mesa (Spare parts) | wet robot power supply - CMP controller | Spares | 5 | inquire | ||
106598 | Applied Materials | ENDURA 2 Chamber Only | SIP Ti | 300 mm | 1 | as is where is | ||
108134 | Applied Materials | ENDURA 2 CHAMBER Only | EnCoRe1(Cu) Chamber only | 300 mm | 1 | as is where is | ||
110950 | Applied Materials | Mirra Mesa (Spare parts) | light tower pcb - CMP controller | Spares | 5 | inquire | ||
106599 | Applied Materials | ENDURA 2 CHAMBER ONLY | TXZ ALD chamber | 300 mm | 1 | as is where is | immediately | |
108135 | Applied Materials | ENDURA 2 CHAMBER Only | EnCoRe1(Cu) Chamber only | 300 mm | 1 | as is where is | ||
110951 | Applied Materials | Mirra Mesa (Spare parts) | SSD Harddisk - CMP controller | Spares | 5 | inquire | ||
93032 | Applied Materials | CENTRIS DPS MESA | Dry Etch, Twin 3chamber | 300 MM | 01.05.2010 | 1 | as is where is | immediately |
106600 | Applied Materials | ENDURA CL Chamber Only | CVD AL(AXZ) | 300 mm | 01.06.2002 | 1 | as is where is | |
108136 | Applied Materials | ENDURA 2 CHAMBER Only | EnCoRe1(Ta) Chamber only | 300 mm | 1 | as is where is | ||
106601 | Applied Materials | ENDURA CL Chamber Only | CVD AL(AXZ) | 300 mm | 01.06.2002 | 1 | as is where is | |
108137 | Applied Materials | ENDURA 2 CHAMBER Only | EnCoRe1(Ta) Chamber only | 300 mm | 1 | as is where is | ||
93034 | Applied Materials | CENTURA ENABLER E2 | Oxide Etcher /server OS PC | 300 MM | 31.05.2007 | 1 | as is where is | |
106602 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only | 300 mm | 1 | as is where is | ||
108138 | Applied Materials | ENDURA 2 CHAMBER Only | PCXT Chamber only | 300 mm | 01.06.2019 | 1 | as is where is | |
93035 | Applied Materials | CENTURA ENABLER E5 | Oxide Etcher /server OS PC | 300 MM | 31.05.2010 | 1 | as is where is | |
106603 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only | 300 mm | 1 | as is where is | ||
108139 | Applied Materials | ENDURA CL | Not include XP Robot | 300 mm | 01.06.2002 | 1 | as is where is | |
93036 | Applied Materials | P5000 Etch | no chamber (PLIS type) | 200 mm | 1 | as is where is | ||
106604 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only | 300 mm | 1 | as is where is | ||
108140 | Applied Materials | ENDURA CL | 300 mm | 1 | as is where is | |||
106605 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only | 300 mm | 1 | as is where is | ||
108141 | Applied Materials | ENDURA CL Chamber Only | ESIP TAN (No Target) Chamber only | 300 mm | 1 | as is where is | ||
110701 | Applied Materials | P5000 | Poly Etcher | 150 mm | 01.06.1995 | 2 | as is where is | immediately |
106606 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only | 300 mm | 1 | as is where is | ||
108142 | Applied Materials | Olympia | ALD | 300 mm | 1 | as is where is | ||
106607 | Applied Materials | ENDURA CL Chamber Only | PRECLEAN | 300 mm | 1 | as is where is | ||
108143 | Applied Materials | P5000 | Delta Dlh 3chCVD | 150 mm | 1 | as is where is | ||
106608 | Applied Materials | ENDURA CL Chamber Only | PRECLEAN | 300 mm | 1 | as is where is | ||
108144 | Applied Materials | P5000 | Mark II Metal ETCH | 150 mm | 1 | as is where is | ||
106609 | Applied Materials | ENDURA CL Chamber Only | PRECLEAN | 300 mm | 1 | as is where is | ||
108145 | Applied Materials | P5000 | Teos dlh 3ch CVD | 150 mm | 1 | as is where is | ||
106610 | Applied Materials | ENDURA CL Chamber Only | RPC | 300 mm | 01.06.2002 | 1 | as is where is | |
108146 | Applied Materials | Producer GT Chamber | SICONI Chamber only | 300 mm | 01.06.2017 | 1 | as is where is | |
106611 | Applied Materials | ENDURA CL Chamber Only | RPC | 300 mm | 01.06.2002 | 1 | as is where is | |
108147 | Applied Materials | PRODUCER SE | Ht_SiN 2ch / Server OS Type | 300 mm | 01.06.2006 | 1 | as is where is | |
70004 | Applied Materials | Baccini | Misc parts For Baccini Solar Line - see attached list | Spares | 1 | as is where is | immediately | |
108148 | Applied Materials | Producer SE Chamber | LLTO Chamber only | 300 mm | 01.06.2014 | 1 | as is where is | |
106613 | Applied Materials | ENDURA2 CHAMBER ONLY | PVD CHAMBER | 300 mm | 1 | as is where is | ||
108149 | Applied Materials | PRODUCER SE CHAMBER | PECVD Silane Chamber Only | 300 mm | 1 | as is where is | ||
106614 | Applied Materials | ENDURA2 VOLTA CO | CHAMBER QTY 2 SETS | 300 mm | 1 | as is where is | ||
108150 | Applied Materials | PRODUCER SE CHAMBER | PECVD TEOS Chamber Only | 300 mm | 1 | as is where is | immediately | |
106615 | Applied Materials | G5-MESA | DRY ETCH EFEM | 300 MM | 1 | as is where is | ||
106616 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER | 300 mm | 1 | as is where is | ||
110712 | Applied Materials | Centura 5200 HTF Epitaxial | 3 Chamber Atmospheric Epitaxial Deposition system | 200 MM | 01.06.1996 | 1 | as is where is | immediately |
106617 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER | 300 mm | 1 | as is where is | ||
110713 | Applied Materials | Centura 5200 HTF Epitaxial | 3 Chamber Atmospheric Epitaxial Deposition system | 200 MM | 01.06.1999 | 1 | as is where is | immediately |
106618 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER | 300 mm | 1 | as is where is | ||
110714 | Applied Materials | P5000 | 3 Chamber Dry Etch | 150 MM | 01.06.1995 | 1 | as is where is | immediately |
93051 | Applied Materials | P5000 | WXL | 150 mm | 31.05.1994 | 1 | as is where is | |
106619 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER | 300 mm | 1 | as is where is | ||
110715 | Applied Materials | P5000 | 4 Chamber SiN CVD | 150 MM | 01.06.1993 | 1 | as is where is | immediately |
106620 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER | 300 mm | 1 | as is where is | ||
110716 | Applied Materials | P5000 Mark 2 | 2 Chambers TEOS PECVD and 2 Chambers Dry Etch | 150 MM | 01.06.2000 | 1 | as is where is | immediately |
93053 | Applied Materials | PRODUCER GT | LLTO | 300 mm | 31.05.2014 | 1 | as is where is | |
106621 | Applied Materials | P5000 | Delta Teos 3ch, Sputter 1ch | 150 mm | 01.06.1996 | 1 | as is where is | |
110717 | Applied Materials | P5000 | 3 Chambers PECVD TEOS | 150 MM | 01.06.1996 | 1 | as is where is | immediately |
106622 | Applied Materials | P5000 | Delta Teos 3ch, Sputter 1ch | 200 mm | 01.06.1998 | 1 | as is where is | |
106623 | Applied Materials | P5000 | DxL 2ch | 200 mm | 01.06.1996 | 1 | as is where is | |
106624 | Applied Materials | PRODUCER GT | ACL 1ch / Server X | 300 mm | 1 | as is where is | ||
106625 | Applied Materials | PRODUCER GT | CH_A_FOX / CH_B_eHARP / Server OS Type | 300 mm | 01.06.2009 | 1 | as is where is | |
106626 | Applied Materials | PRODUCER GT | Ht_Acl 3ch / Server OS Type | 300 mm | 01.06.2006 | 1 | as is where is | |
106627 | Applied Materials | PRODUCER GT | Siconi 3ch | 300 mm | 01.06.2009 | 1 | as is where is | |
108931 | Applied Materials | SEMVISION G5 MAX | Defect Review SEM | 300 mm | 01.06.2011 | 1 | as is where is | immediately |
106628 | Applied Materials | Producer GT Chamber | HARP | 300 mm | 1 | as is where is | ||
91269 | Applied Materials | CENTURA 5200 | MxP Poly | 200 mm | 1 | as is where is | ||
106629 | Applied Materials | Producer GT Chamber | HARP | 300 mm | 1 | as is where is | ||
106630 | Applied Materials | Producer GT Chamber | SICONI Chamber only | 300 mm | 01.06.2017 | 1 | as is where is | |
36487 | APPLIED MATERIALS | P-5000 DxL | DxL, TEOS | 150mm | 1 | inquire | ||
106631 | Applied Materials | Producer GT Chamber | SIH4 | 300 mm | 1 | as is where is | ||
101768 | Applied Materials | 0010-00557 REV A | Heat Exchanger | FACILITIES | 31.08.1988 | 1 | as is where is | immediately |
106632 | Applied Materials | Producer GT Chamber only | SICONI Chamber only | 300 mm | 01.06.2017 | 1 | as is where is | |
106633 | Applied Materials | PRODUCER SE | CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type | 300 mm | 01.06.2004 | 1 | as is where is | immediately |
106634 | Applied Materials | PRODUCER SE | Ht_SiN 2ch / Server OS Type | 300 mm | 01.06.2006 | 1 | as is where is | |
106635 | Applied Materials | PRODUCER SE | SILANE 2ch / Server OS Type | 300 mm | 01.06.2011 | 1 | as is where is | |
106636 | Applied Materials | PRODUCER SE | Teos 2ch / Server OS Type | 300 mm | 01.06.2006 | 1 | as is where is | |
91277 | Applied Materials | Centura DPS II CHAMBER | Chamber only | 300 mm | 1 | as is where is | ||
106637 | Applied Materials | ENDURA 2 Chamber Only | (IMP Ti) | 300 mm | 1 | as is where is | ||
106638 | Applied Materials | ENDURA 2 Chamber Only | EXTENSA Ti | 300 mm | 01.06.2008 | 1 | as is where is | |
91279 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | |
91280 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | |
91281 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | |
91282 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | |
91283 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | |
91284 | Applied Materials | Etch dry chamber Only | AXIOM, DPS | 300 mm | 1 | as is where is | ||
91285 | Applied Materials | Etch dry chamber Only | AXIOM, DPS | 300 mm | 1 | as is where is | ||
91286 | Applied Materials | P5000 | DELTA DLH | 150 mm | 1 | as is where is | ||
91287 | Applied Materials | P5000 | DELTA DLH | 150 mm | 31.05.1993 | 1 | as is where is | |
18840 | APPLIED MATERIALS | 0230-00101 | Precision etch 8300 | 1 | as is where is | |||
18841 | APPLIED MATERIALS | 0230-09130 | Precision 5000 Mark II spare part identified | 1 | as is where is | |||
105881 | Applied Materials | Reflexion GT | CMP system with integrated cleaner | 300 MM | 01.05.2011 | 1 | as is where is | immediately |
18842 | APPLIED MATERIALS | 0230-09259 | Precision 5000 Mark II Mainframe and support equipment manual | 1 | as is where is | |||
18843 | APPLIED MATERIALS | 079-1202-0D | PR 5000 Advanced prev. And corrective main | 1 | as is where is | |||
108699 | Applied Materials | Oasis | HF Wafer cleaning system | 300 MM | 01.06.2006 | 1 | as is where is | immediately |
4252 | Applied Materials | 0230-09130 | P5000 SPARE PARTS IDENTIFIER | Spares | 01.01.1992 | 1 | as is where is | |
18844 | APPLIED MATERIALS | 026-110-0B1 | PR 5000 Func desc. Practice exercici and basic prev. maintenance procedures | 1 | as is where is | |||
108701 | Applied Materials | Centura 5200 High K CVD Process Chamber | CVD Process Chamber | 200 mm | 1 | as is where is | immediately | |
108705 | Applied Materials | Centura 5200 MxP Chamber | MxP Etching Chamber | 200 mm | 1 | inquire | immediately | |
110767 | Applied Materials | Mirra 3400 Ontrak | CMP Polisher with Cleaning System | 200 mm | 01.05.1997 | 1 | as is where is | immediately |
91316 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 1 | as is where is | ||
93108 | Applied Materials | PRODUCER GT | UV Bake Photostabilizer | 300 mm | 31.05.2017 | 1 | as is where is | |
91317 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 1 | as is where is | ||
93109 | Applied Materials | PRODUCER GT | UV Bake Photostabilizer | 300 mm | 31.05.2017 | 1 | as is where is | |
106944 | Applied Materials | Endura 5500 (spare parts) | Endura 6" process kit for Degas Chamber, NEW, in AMAT box , including 0020-28140 and other parts | 150 mm | 01.11.2001 | 1 | as is where is | immediately |
111054 | Applied Materials | 0040-55456 | BELLJAR, 21 OD 300MM PC XT/XTE - PC-XT | Spares | 5 | inquire | ||
111055 | Applied Materials | 0200-01903 | INSULATOR PEDESTAL QUARTZ 300MM PCII - PC-XT | Spares | 5 | inquire | ||
111056 | Applied Materials | 0020-19258 | PEDESTAL TITANIUM 300MM PCII - PC-XT | Spares | 5 | inquire | ||
111057 | Applied Materials | 0021-19342 | SHIELD INNER 300MM PCII/RPC+ - PC-XT | Spares | 5 | inquire | ||
91602 | Applied Materials | ENDURA CL Chamber Only | AxZ | 300 mm | 1 | as is where is | ||
110802 | Applied Materials | Endura | IMP Ti / TiN Physical Vapor Deposition System | 200 mm | 01.06.2000 | 1 | as is where is | immediately |
111058 | Applied Materials | 0040-86514 | SHIELD, LOWER 300MM PCXT/RPC+ - PC-XT | Spares | 5 | inquire | ||
91603 | Applied Materials | ENDURA CL Chamber Only | AxZ | 300 mm | 1 | as is where is | ||
111059 | Applied Materials | 0040-07291 | DEPOSITION RING ADV 101 300MM - DSTTN | Spares | 5 | inquire | ||
91604 | Applied Materials | ENDURA CL Chamber Only | AxZ | 300 mm | 1 | as is where is | ||
111060 | Applied Materials | 0021-26609 | SHUTTER DISK, A101, TTN, CENTER PIN, BLUE, 300MM - DSTTN | Spares | 5 | inquire | ||
91605 | Applied Materials | ENDURA CL Chamber Only | AxZ | 300 mm | 1 | as is where is | ||
111061 | Applied Materials | 0021-22064 | COVER RING, TTN, 300MM PVD - DSTTN | Spares | 5 | inquire | ||
91606 | Applied Materials | ENDURA CL Chamber Only | AxZ | 300 mm | 1 | as is where is | ||
111062 | Applied Materials | 0021-22065 | SHIELD, LOWER HI-COND TTN, 300MM PVD - DSTTN | Spares | 5 | inquire | ||
91607 | Applied Materials | ENDURA CL Chamber Only | AxZ | 300 mm | 1 | as is where is | ||
110807 | Applied Materials | 0240-31390 | HCLU Load Cup Assy - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
111063 | Applied Materials | 0021-21234 | SHIELD, UPPER HI-COND TIN LONG, 300MM PVD - DSTTN | Spares | 5 | inquire | ||
91608 | Applied Materials | ENDURA CL Chamber Only | AxZ | 300 mm | 1 | as is where is | ||
98264 | Applied Materials | VANTAGE (Rediance 3.x) | RTP | 300 mm | 31.05.2003 | 1 | as is where is | |
110808 | Applied Materials | 0010-77153 | Wet Robot Assy - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
111064 | Applied Materials | 0021-26565 | SHUTTER DISK - Aluminum | Spares | 5 | inquire | ||
110809 | Applied Materials | 1080-01170 | Platen Motor Assy - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
111065 | Applied Materials | 0021-45259 | SHIELD, 1-PIECE, BEADBLAST, SST, STD PVD - Aluminum | Spares | 5 | inquire | ||
110810 | Applied Materials | 0190-14344 | NSK Motor/driver Assy - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
111066 | Applied Materials | 0021-84173 | COVER RING - Aluminum | Spares | 5 | inquire | ||
106203 | Applied Materials | Mirra 3400 Stand-Alone | Oxide/STI CMP | 200 mm | 01.06.1998 | 1 | inquire | |
110811 | Applied Materials | Mirra Mesa (Spare Parts) | Cable from polisher to controller - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
111067 | Applied Materials | 0200-07492 | DEPOSITION RING - Aluminum | Spares | 5 | inquire | ||
110812 | Applied Materials | Mirra Mesa (Spare Parts) | Head Spindle - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
110813 | Applied Materials | 0040-77041 | Mirra teflon Coated upper polish platen - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
108254 | Applied Materials | P5000 Mark 2 | CVD system with 4 x DXZ chambers, Silane process | 200 mm | 01.06.2001 | 1 | as is where is | immediately |
109534 | Applied Materials | 990-004763-015 | Pad Con Analog Pneumatic Unit | Spares | 1 | as is where is | immediately | |
110814 | Applied Materials | 3080-01119 | Platen Motor Belt - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
106207 | Applied Materials | 0240-20611 REV E | KIT SLIT VALVE PER CHAMBER REV E | Spares | 01.06.1999 | 1 | as is where is | immediately |
109535 | Applied Materials | 990-004763-015 (Upgrade) | Upgrade for Pad Con Analog Pneumatic Assembly | Spares | 1 | as is where is | immediately | |
110815 | Applied Materials | 0190-17083 | Platen Polish Bearing - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
91616 | Applied Materials | ENDURA CL Chamber Only | AL | 300 mm | 1 | as is where is | ||
106208 | Applied Materials | 0040-13659 REV P1 | BLOCK, MTG | Spares | 01.06.1999 | 1 | as is where is | immediately |
110816 | Applied Materials | 3970-00030 | Platen Gear Box/ Head - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
91617 | Applied Materials | ENDURA CL Chamber Only | AL | 300 mm | 1 | as is where is | ||
106209 | Applied Materials | 0020-21089 | SHIELD | Spares | 01.06.1999 | 1 | as is where is | immediately |
110817 | Applied Materials | 0190-77114 | Yaskawa Cross Servo Motor Model: SGM-04UWB4L - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
91618 | Applied Materials | ENDURA CL Chamber Only | Chamber 1 (Ver. 001) CPI-VMO | 300 mm | 1 | as is where is | ||
106210 | Applied Materials | 0190-20015 REV E | GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR | Spares | 01.06.1998 | 1 | as is where is | immediately |
110818 | Applied Materials | 0010-12344 | Pad cond Assembly - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
106211 | Applied Materials | 0050-20072 REV B | GAS LINE CHAMBER 3 HEATER MFC 1 VCR | Spares | 01.06.1999 | 1 | as is where is | immediately |
110819 | Applied Materials | 0190-77016 | Pad cond sweep motor (Yaskawa SGM-01U3B4L) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
91620 | Applied Materials | ENDURA CL Chamber Only | SOURCE D (Ver. 003) CPI-VMO | 300 mm | 1 | as is where is | ||
106212 | Applied Materials | 0050-76652 REV A | GAS LINE CHAMBER 2 PROCESS MFC 19 MIXED | Spares | 01.06.1999 | 1 | as is where is | immediately |
106980 | Applied Materials | Reflexion | CMP system | 300 mm | 1 | inquire | immediately | |
110820 | Applied Materials | 0190-77015 | Pad cond rotation motor (Yaskawa SGM-01U3B4CL) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
106213 | Applied Materials | 0020-20626 REV C | BLOCK MOUNTING SHUT-OFF VALVE | Spares | 01.06.1999 | 2 | as is where is | immediately |
106981 | Applied Materials | Reflexion LK | CMP system | 300 mm | 1 | inquire | immediately | |
109541 | Applied Materials | Centura AP DPS 2 G5 | Polysilicon etcher, 3 chamber | 300 mm | 01.08.2008 | 1 | as is where is | immediately |
110821 | Applied Materials | 0010-77721 | Wet Robot Blade - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
106214 | Applied Materials | 0090-20042 REV D | ASSY 3 WAY VALVE | Spares | 01.06.1999 | 5 | as is where is | immediately |
106982 | Applied Materials | Mesa | CMP cleaning system | 300 mm | 1 | inquire | immediately | |
109542 | Applied Materials | Centura DPS II Advantedge POLY | POLY ETCHER, 4 CHAMBER | 300 mm | 01.06.2010 | 1 | as is where is | immediately |
110822 | Applied Materials | 0020-78177 | Wet Robot blade gasket - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
91623 | Applied Materials | ENDURA CL Chamber Only | Chamber D (Ver. 001) CPI-VMO(eSIP TAN) | 300 mm | 1 | as is where is | ||
94439 | Applied Materials | Centura WCVD | WxZ Optima | 200 mm | 1 | as is where is | ||
106215 | Applied Materials | 0020-20483 REV E | HUB LAMP CORNER COVER | Spares | 01.06.1998 | 2 | as is where is | immediately |
106983 | Applied Materials | Desica | CMP Cleaning system | 300 mm | 1 | inquire | immediately | |
109543 | Applied Materials | Centura AP DPS 2 Advantedge Mesa | Polysilicon Etcher with 4 chambers | 300 mm | 01.08.2006 | 1 | as is where is | immediately |
110823 | Applied Materials | 0190-16236 | Wet robot -Wrist Motor - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
106216 | Applied Materials | 0140-20502 REV D | HARN ASSY AC 2-PHASE DRIVER | Spares | 01.06.1999 | 1 | as is where is | immediately |
106984 | Applied Materials | Kawasaki 4.0 | Fab Interface Module | 300 mm | 1 | inquire | immediately | |
109544 | Applied Materials | Centura 5200 AP DPS2 Advantedge Carina Mesa | Dry Etch Cluster Tool - 2 CHAMBER - METAL ETCH PROCESS | 300 mm | 01.05.2013 | 1 | as is where is | immediately |
110824 | Applied Materials | 0190-16235 | Wet robot - Shoulder Motor - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
106217 | Applied Materials | 0020-20523 | COVER | Spares | 01.06.1999 | 1 | as is where is | immediately |
109545 | Applied Materials | Centura AP DPS II Advantedge | Polysilicon Etcher with 4 chambers | 300 mm | 01.06.2006 | 1 | as is where is | immediately |
110825 | Applied Materials | 0190-17944 | Wet robot -Z-Axis (Up and Down Motion) Motor Assembly - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
106218 | Applied Materials | 0240-70416 REV C | KIT BLOW OUT VALVE PER CHAMBER | Spares | 01.06.1999 | 1 | as is where is | immediately |
110826 | Applied Materials | 0100-77069 | ISRM Module - Full Scan (77069) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
106219 | Applied Materials | 0190-20015 REV E | GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR | Spares | 01.06.1999 | 1 | as is where is | immediately |
110827 | Applied Materials | 0100-00845 | laser diode mdl 390a-670-5 - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
94444 | Applied Materials | ENDURA CL Chamber only | AxZ | 300 mm | 31.05.2017 | 1 | as is where is | |
106220 | Applied Materials | 0190-20015 REV D | VALVE / MANIFOLD | Spares | 01.06.1998 | 1 | as is where is | immediately |
110828 | Applied Materials | 0100-77064 | ISRM Module - Legacy (77064) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
106221 | Applied Materials | 3830-01018 REV | LABEL LASERTAB MARKER WHT POLYEST LAM | Spares | 01.06.1999 | 2 | as is where is | immediately |
109549 | Applied Materials | Centura DPS2 AE Minos Poly | Dry Etch with 2CH DPS2 and 2 CH Axiom | 300 mm | 01.06.2006 | 1 | as is where is | immediately |
110829 | Applied Materials | 0090-77110 | ISRM Cable (to slip ring) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
106222 | Applied Materials | 3830-01034 REV | LABEL LASERTAB MARKER WHT POLYEST LAM | Spares | 01.06.1999 | 1 | as is where is | immediately |
110830 | Applied Materials | 0720-02672 | Slip Ring (Conn 6cond Rotating feed through) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
106223 | Applied Materials | 0020-20626 REV C | BLOCK MOUNTING SHUT-OFF VALVE | Spares | 01.06.1998 | 1 | as is where is | immediately |
110831 | Applied Materials | 990-004763-015 | Pad Cond Analog Board - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
106224 | Applied Materials | 3870-01281 REV | VALVE BLANK PLATE ASSY FOR SMC P/N NVJ11 | Spares | 01.06.1999 | 3 | as is where is | immediately |
110832 | Applied Materials | 0100-77017 | Lower Pneumatic Board - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
106225 | Applied Materials | 3870-01284 | VALVE BLANK PLATE ASSY FOR SMC P/N NVJ3023 | Spares | 01.06.1999 | 1 | as is where is | immediately |
110833 | Applied Materials | 990-004765-107 | Digital Pneutronics Board (Normally Open) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
106226 | Applied Materials | 0090-20042 REV D | ASSY 3 WAY VALVE | Spares | 01.06.1999 | 1 | as is where is | immediately |
110834 | Applied Materials | 990-004765-104 | Digital Pneutronics Board (Normally Close) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
106227 | Applied Materials | 0190-20015 REV E | GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR | Spares | 01.06.1999 | 1 | as is where is | immediately |
110835 | Applied Materials | MFC-8005-T2105-072-N-001 | Chemical Malema Pump - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
106228 | Applied Materials | 0020-20626 REV C | BLOCK MOUNTING SHUT-OFF VALVE | Spares | 01.06.1998 | 1 | as is where is | immediately |
110836 | Applied Materials | MFC-8000-T2104-052-P-001 | Slurry Malema Pump - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
110837 | Applied Materials | UPM2-646NC | Furon Valve UPM2-646NC - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
110838 | Applied Materials | UPM3-644 | Furon Valve UPM3-644 - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
108023 | Applied Materials | P5000 | CVD | 200 mm | 01.11.1990 | 1 | as is where is | |
110839 | Applied Materials | UPM2-644NC | Furon Valve UPM2-644NC - CMP Polisher and Lower Mirra | Spares | 5 | inquire | ||
110841 | Applied Materials | 0140-08725 | P2 cable Harness, E-chain AIO head, 200mm - CMP HEAD | Spares | 5 | inquire | ||
110842 | Applied Materials | 0140-77637 | P1 cable C/A Digital I/O head pneumatic - CMP HEAD | Spares | 5 | inquire | ||
111354 | Applied Materials | Centura 5200 XE+ | RTP system with TPCC | 200 mm | 1 | as is where is | immediately | |
71931 | Applied Materials | 0050-76664 REV A | GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE | spares | 01.06.1999 | 1 | as is where is | immediately |
110843 | Applied Materials | 0140-77632 | Head Sweep Cable - CMP HEAD | Spares | 5 | inquire | ||
111355 | Applied Materials | Centura 5200 XE+ | RTP system with TPCC | 200 mm | 01.06.2003 | 1 | as is where is | immediately |
71932 | Applied Materials | 0020-20919 REV C | COVER CVD POST | spares | 31.05.1999 | 1 | as is where is | immediately |
110844 | Applied Materials | 0190-77482 | Head Sweep Motor assy - CMP HEAD | Spares | 5 | inquire | ||
71933 | Applied Materials | 0150-21344 | CHAMBER D INTERCONNECT EMC COMPLIANT | spares | 31.05.1999 | 1 | as is where is | immediately |
110845 | Applied Materials | 0760-01034 | 3-port, 4-port Rotary Union (0760-01034) - CMP HEAD | Spares | 5 | inquire | ||
110846 | Applied Materials | 3870-02674 | Burkert Valve - CMP HEAD | Spares | 5 | inquire | ||
110847 | Applied Materials | IT1001-N31-X6 | SMC UPA Regulator (X6) - CMP HEAD | Spares | 5 | inquire | ||
110617 | Applied Materials ® | 0190-33289 | RTP CHAMBER LAMP | spares | 183 | as is where is | immediately | |
105851 | Applied Materials ® | 079-018-05 | P5000 Mk II Functional description Practice exercises | Spares | 30.04.1995 | 1 | as is where is | immediately |
105852 | Applied Materials ® | 0230-09258B | P5000 Mk II Mainframe and Support Equipment Manual | Spares | 30.06.1994 | 1 | as is where is | immediately |
105853 | Applied Materials ® | 0230-00103 | Precision Etch 8300 Corrective Maintenance Rev 3 | Spares | 30.04.1990 | 2 | as is where is | immediately |
105854 | Applied Materials ® | 0230-20005 | Endura Operations and Programming Training Course Student Workbook | Spares | 31.08.1993 | 1 | as is where is | immediately |
105858 | Applied Materials ® | 0021-35163 Rev A | Gold-plated RTP Reflector Plate, 200 MM, chamber bottom | 200 mm | 31.05.1997 | 1 | as is where is | immediately |
6536 | Applied Materials ® | 0230-09258 B | P5000 Mk II Mainfame support and equipment manual cleanroom July 1994 | Spares | 01.07.1994 | 1 | as is where is | immediately |
6537 | Applied Materials ® | 026-105-03 C | P5000 Mk II Functional description training manual | Spares | 01.04.1995 | 1 | as is where is | immediately |
6538 | Applied Materials ® | 079-109-0D | P5000 Mk II Advanced calibration proceedures manual Jan 1995 | Spares | 01.01.1995 | 1 | as is where is | immediately |
6539 | Applied Materials ® | 079-102-0D | P5000 Mk II Advanced preventive and corrective maintenance Apr 1996 | Spares | 01.04.1996 | 1 | as is where is | immediately |
6540 | Applied Materials ® | 026-110-0B.1 | P5000 Mk II Functional description , practice exercises and basic maintenance proceedures | Spares | 01.03.1996 | 1 | as is where is | immediately |
83894 | ASAHI TOOLS | K-2 | PRECISION WRENCH | PARTS | 1 | as is where is | immediately | |
32217 | ASAHI TOOLS | K-2 | PRECISION WRENCH | PARTS | 1 | as is where is | immediately | |
77168 | Asco | SC8210G004 | Solenoid Valve, 1 In, Orifice 1 In, Brass | Spares | 1 | as is where is | immediately | |
83571 | Asco | SC8210G93 | Solenoid Valve, | Spares | 1 | as is where is | immediately | |
109363 | ASE | AMV-1000-27M-ASMGK-L | RF GENERATOR | Spares | 6 | as is where is | ||
109364 | ASE | AMV-1000-27M-ASMGK-R | RF GENERATOR | Spares | 6 | as is where is | ||
109365 | ASE | AMV-1000-SMT | RF GENERATOR | Spares | 1 | as is where is | ||
109104 | ASM | AS899 (Spare Parts) | Stock of Spare Parts for ASM Die Sorters / Pick and place Die Bonders | Spares | 01.06.2009 | 51 | as is where is | immediately |
109117 | ASM | XP8 | Dual Chamber PECVD system for Oxide and Nitride | 300 mm | 01.06.2010 | 2 | as is where is | immediately |
108378 | ASM | A600 LPCVD-OXIDE | Vertical Furnace | 300 mm | 1 | as is where is | ||
108381 | ASM | Eagle XP EmerALD | ALD (Atomic Layer Deposition) | 300 mm | 1 | as is where is | ||
108384 | ASM | Eagle-12 Rapidfire | PECVD (Chemical Vapor Deposition) | 300 mm | 3 | as is where is | ||
106862 | ASM | A412 | Dual Tube CVD Furnace, Tube 1 Nitride , Tube 2 As + Ge doped polyslicon | 200 mm | 01.01.2019 | 1 | as is where is | immediately |
110718 | ASM | A400 | Vertical furnace,Poly process | 200 MM | 01.06.2002 | 1 | as is where is | immediately |
106884 | ASM | AS899 (Spare Parts) | Spare Parts for Pick and Place Die Bonder | Spares | 01.06.2009 | 51 | as is where is | immediately |
98443 | ASM | MS896 | LED sorter | 100mm | 10 | as is where is | immediately | |
98444 | ASM | WS896 | LED sorter | 100mm | 10 | as is where is | immediately | |
81827 | ASM | 2890224-21 | Converter DTC | Spares | 1 | as is where is | immediately | |
81828 | ASM | 2506556-21 | Processor DTC PCB | Spares | 01.03.2010 | 1 | as is where is | immediately |
108713 | ASM | A400 HT | Vertical Furnace Oxide/Poly | 200 mm | 01.06.2003 | 1 | inquire | immediately |
108256 | ASM | A400 | Vertical furnace, wet oxide process | 200 mm | 01.06.2001 | 1 | as is where is | immediately |
109030 | ASM | AD810 | Automatic Die Attach Tool | Assembly | 01.09.2017 | 1 | as is where is | immediately |
108264 | ASM | Siplace CA4 | High volume automatic flip-chip bonder | 200 mm | 01.06.2014 | 1 | as is where is | |
111352 | ASM | Eagle XP5 | Plasma-enhanced ALD system with 2 chambers for SiO and SIN | 300 mm | 01.06.2010 | 1 | immediately | |
109620 | ASML | PAS 5500/55A | I-line Stepper | 1 | inquire | |||
110674 | ASML | PAS 5500/80B | i line stepper | 100-200 MM | 01.06.1993 | 1 | as is where is | immediately |
110685 | ASML | Yieldstar S100 | Overlay measurement System | 300 mm | 01.06.2008 | 1 | as is where is | immediately |
108385 | ASML | TWINSCAN AT:850D | 248nm (KrF) Scanner | 300 mm | 1 | as is where is | ||
108387 | ASML | YieldStar S-100B | Overlay Measurement System | 300 mm | 1 | as is where is | ||
109158 | ASML | YieldStar S-200B | Overlay Measurement System | 300mm | 1 | as is where is | ||
109159 | ASML | YieldStar S-250 | Overlay Measurement System | 300mm | 1 | as is where is | ||
110703 | ASML | AT1100B Twinscan | DUV Lithography exposure system 193 nm | 200 mm and 300 mm | 01.06.2001 | 1 | as is where is | immediately |
108918 | ASML | XT1250D | ArF Lithography Scanner | 300 mm | 01.05.2004 | 1 | as is where is | immediately |
108151 | ASML | XT1400E | 193 nm DUV SCANNER(ARF) | 300 mm | 01.06.2005 | 1 | as is where is | immediately |
110797 | ASML | Twinscan XT400G | i LINE SCANNER | 300 mm | 1 | as is where is | immediately | |
110798 | ASML | Twinscan XT400F | i LINE SCANNER | 300 mm | 1 | as is where is | immediately | |
110799 | ASML | Twinscan XT400F | i LINE SCANNER | 300 mm | 1 | as is where is | immediately | |
109286 | ASML | Twinscan AT850B | 193nm DUV Scanner | 300 mm | 01.11.2002 | 1 | as is where is | immediately |
109551 | ASML | TWINSCAN XT1250D | 193nm (ArF) Scanner - Cymer laser not included | 300 mm | 01.05.2004 | 1 | as is where is | immediately |
111343 | ASML | XT-1900-Gi | Immersion Lithography System | 300 mm | 01.06.2009 | 1 | as is where is | immediately |
109055 | ASML | Yieldstar S200B | Wafer metrology system | 300 mm | 01.06.2011 | 1 | as is where is | immediately |
82176 | Astec | VS3-C2-C2-C2 | Power Supply 2000 watts (-450-CE) | 1 | as is where is | immediately | ||
82227 | Astec | VS3-C2-C2-02 | Power Supply 2000 watts (-450-CE) 5.2V FOR CREDENCE DUO TESTER | Spares | 01.06.2011 | 2 | as is where is | immediately |
83556 | Astec | VS3-C8-A8-02 (-451-CE) | Power Supply 2000 watts FOR CREDENCE DUO TEST SYSTEM | Spares | 1 | as is where is | immediately | |
83557 | Astec | VS1-L3-02 (-435-CE) | Power Supply 1500 watts | 1 | as is where is | immediately | ||
83558 | Astec | VS1-D8-02 (-436-CE) | Power Supply 1500 watts | SPARES | 1 | as is where is | immediately | |
109942 | Astec | 9N24-32-372-FQ-3 | Power Supply | SPARES | 1 | inquire | ||
80315 | Astec | VS3-D8-D8-02 | Power Supply 2000 watts | 2 | as is where is | immediately | ||
81873 | Astec | VS1-L3-02 (-335-CE) | Power Supply 1500 watts | Spares | 1 | as is where is | immediately | |
81875 | Astec | VS3-D4-B4-22 (-447-ce) | Power Supply I/P 115-230 VAC/15A O/P 15V DC 120A 2000 W | Spares | 01.06.1999 | 4 | as is where is | immediately |
81876 | Astec | VS1-L5-02 (-452-ce) | Power Supply | 1 | as is where is | immediately | ||
53270 | Astec Powertec | 9K2-300-372 | Super Switcher Power Supply | Spares | 31.05.1994 | 2 | as is where is | immediately |
109366 | ASTECH | ATL-100RA | RF GENERATOR | Spares | 4 | as is where is | ||
109367 | ASTECH | ATL-100RA | RF GENERATOR | Spares | 1 | as is where is | ||
109368 | ASTECH | ATL-100RA-03 | RF GENERATOR | Spares | 4 | as is where is | ||
109943 | Astech | ATL-100RA | Match | SPARES | 1 | inquire | ||
109944 | Astech | ATL-100RA | Match | SPARES | 1 | inquire | ||
109945 | Astech | ATL-100RA | Match | SPARES | 1 | inquire | ||
109946 | Astech | ATL-100RA | Match | SPARES | 1 | inquire | ||
109947 | Astech | ATL-100RA/DT2L | Match | SPARES | 1 | inquire | ||
109369 | ASTEX | ARX-X491 | RF GENERATOR | Spares | 6 | as is where is | ||
109948 | Astex | AX2107 | Generator | SPARES | 1 | inquire | ||
108728 | ASYMTEK | Millenium 620 | Glue Dispense Tool | 1 | as is where is | |||
84765 | Asyst | 1150-V1315S | SMIF Load port 150mm for Lam 4620 | 150 MM | 1 | as is where is | immediately | |
106803 | Asyst | Versaport 2200 with shuttle and back plate | SMIF loader | 200mm | 6 | as is where is | immediately | |
102551 | Asyst | e-charger | N2 charge | 200mm | 2 | as is where is | immediately | |
95404 | Asyst | 1150-V1315S | SMIF Load port 150mm for Lam 4620 | 150 MM | 1 | as is where is | immediately | |
91571 | ASYST | SPARTAN EFEM | Wafer Sorter | 300 mm | 01.05.2010 | 1 | as is where is | immediately |
101816 | Asyst | Isoport | Wafer load port | 300 mm | 20 | as is where is | immediately | |
101817 | Asyst | Versaport pod opener 2200 VPO | SMIF loader | 200 mm | 15 | as is where is | immediately | |
98447 | August | 3DI-8000 | wafer bump inspection | 300 mm | 1 | as is where is | immediately | |
98448 | August | NSX-95 | 2D Auto inspection system / Macro defect inspection system | 200 mm | 4 | as is where is | immediately | |
101818 | August | NSX-105 | Wafer Bumping Inspection System / Macro defect inspection | 200 mm | 1 | as is where is | immediately | |
109067 | Axcelis | NV8250P | Medium Current Implanter | 200 mm | 01.06.1995 | 1 | as is where is | immediately |
109086 | Axcelis | NV-GSD-200E2 | High Current Ion Implanter | 150 mm | 01.09.2004 | 1 | as is where is | immediately |
103517 | AXCELIS | ES3 | Plasma Asher | 300 mm | 1 | as is where is | ||
108901 | Axcelis | Rapid Cure320FC Radiator | UV anneal System | 300 mm | 01.05.2007 | 1 | as is where is | immediately |
108905 | Axcelis | RapidCure 320FC | RPC | 300 mm | 01.12.2005 | 1 | as is where is | immediately |
111223 | AXCELIS | 5000132 | STDF 3/8LX3/8X6-32 RND, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111224 | AXCELIS | 17287690 | BALL SEAL, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111225 | AXCELIS | 17031590 | INSUL ES QUAD, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111226 | AXCELIS | 5001057 | STDF 5/8LX3/8X6-32 CER 2601, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111227 | AXCELIS | 1708680 | INSULATING BUSHING ARC CHAMBER, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111228 | AXCELIS | 17287680 | FLANGE SEAL, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111229 | AXCELIS | 17170590 | REPELLER INSULATOR ELS/IAS, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111230 | AXCELIS | 17147310 | INSULATOR STANDOFF SOURCE, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
94591 | Axcelis | B 07 554 | MOTOR GSD 180 TOP | SPARES | 1 | as is where is | ||
111231 | AXCELIS | 17287870 | INSULATOR CATHODE PLT, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
94592 | Axcelis | PN 1027-0093-0035 | Beam Shutter DI 14 | SPARES | 1 | as is where is | ||
94595 | Axcelis | PS WX 75 N12 0XX0 M 863742-02 | GLASSMAN High Voltage DC Power Supply | SPARES | 1 | as is where is | ||
94600 | Axcelis | 1214110 | EMS Power Supply 10 – 60 LAMBDA | SPARES | 1 | as is where is | immediately | |
94603 | Axcelis | Rock | 4 x Kit Air Jet Replacement | SPARES | 1 | as is where is | ||
94604 | Axcelis | Rock | 4 x Kit Air Jet Replacement | SPARES | 1 | as is where is | ||
106639 | AXCELIS | INTEGRA-RS | DRY STRIP | 300 mm | 01.06.2012 | 1 | as is where is | |
108700 | Axcelis | Fusion ES3 | Asher | 300 MM | 01.02.2001 | 1 | as is where is | immediately |
72111 | Axcelis | 17091650 | SUPP RACK | Spares | 3 | as is where is | immediately | |
111283 | AXCELIS | 17181020 | SCREWS SHIELDS, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111284 | AXCELIS | 17164520 | ELECTRODE FILAMENT, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111285 | AXCELIS | 17287860 | CATHODE MTG PLT, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111286 | AXCELIS | 17270230 | SHIELD (2) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111287 | AXCELIS | 17270220 | DIS 72743 FLD RPL ONLY SHIELD (1) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
72120 | Axcelis | 17S2467 | DISK | Spares | 1 | as is where is | immediately | |
111288 | AXCELIS | 17118510 | POLE SHORT ES QUAD, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
108729 | AXCELIS | 110000800 | Universal 300mm Disk Cart MAT # 4501422 | 2 | as is where is | |||
111289 | AXCELIS | 17270120 | SHIELD BOLT HEAD FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111290 | AXCELIS | 17270110 | BLOCK (5) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111291 | AXCELIS | 17165510 | APERTURE FILAMENT, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111292 | AXCELIS | 170150707 | SHLD (2) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111293 | AXCELIS | 17270070 | BLOCK (1) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111294 | AXCELIS | 17270090 | BLOCK (3) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111295 | AXCELIS | 17270240 | APERTURE 1 AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111296 | AXCELIS | 17270080 | BLOCK (2) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111297 | AXCELIS | 17270210 | BLOCK (3) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111298 | AXCELIS | 17270100 | BLOCK (4) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111299 | AXCELIS | 17270130 | APERTURE (1) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111300 | AXCELIS | 17224320 | APERTURE FARADAY RESOLVER, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111301 | AXCELIS | 17270190 | BLOCK (1) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111302 | AXCELIS | 17371250 | SLIT, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111303 | AXCELIS | 17270200 | BLOCK (2) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111304 | AXCELIS | 17173230 | APERTURE ENTRANCE E-SHOWER, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111305 | AXCELIS | 17350350 | LINER FEM BELLOWS LEAD, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111306 | AXCELIS | 17182580 | STRIKE PLATE (2) DISK, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111307 | AXCELIS | 17182600 | STRIKE PLATE (4) DISK, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111308 | AXCELIS | 17182590 | STRIKE PLATE (3) DISK,, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111309 | AXCELIS | 17182570 | STRIKE PLATE (1) DISK FARADAY, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111310 | AXCELIS | 17098511 | APERTURE BEAM DEF PLT, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111311 | AXCELIS | 17293110 | ADAPTER RING DISC FARADAY GRAPHITE SHIELD, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111312 | AXCELIS | 17125570 | INA 57064 FLD RPL ONLY GROUND PLATE HE SPEC, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
91345 | AXCELIS | INTEGRA | ES plasma dry strip system | 300 mm | 1 | as is where is | ||
111313 | AXCELIS | 17350360 | LINER LEAD, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111314 | AXCELIS | 170151678 | BLOCK (4) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111315 | AXCELIS | 170150706 | BLOCK (3) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111316 | AXCELIS | 170150704 | BLOCK (1) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111317 | AXCELIS | 17228170 | LINER GRAPHITE BEAM GUIDE PLATE, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111318 | AXCELIS | 17270170 | LINER (3) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111319 | AXCELIS | 170151677 | LINER HORIZONTAL AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111320 | AXCELIS | 170150709 | LINER (3) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111321 | AXCELIS | 17270180 | LINER (4) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111322 | AXCELIS | 17270020 | LINER (2) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111323 | AXCELIS | 17270060 | LINER (7) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111068 | Axcelis | 440001339 | SCREW #8-32 X .1875 HEX/SC/CONE/PT SST NF - AXCELIS HE3 | Spares | 5 | inquire | ||
111324 | AXCELIS | 170150703 | LINER (4) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111069 | Axcelis | 170156358 | SCREW SHOULDER, SUS - AXCELIS HE3 | Spares | 5 | inquire | ||
111325 | AXCELIS | 17125561 | EXTRACTION ELECTRODE, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111070 | Axcelis | 170154476 | ARC CHMBR SPACER, SUS - AXCELIS HE3 | Spares | 5 | inquire | ||
111326 | AXCELIS | 170150705 | BLOCK (2) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111071 | Axcelis | 170158596 | ARC CHMBR SPACER, SUS - AXCELIS HE3 | Spares | 5 | inquire | ||
111327 | AXCELIS | 17270010 | LINER (1) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111072 | Axcelis | 17S1597 | FEEDTHRU 11 ESHOWER, ALU, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111328 | AXCELIS | 17270140 | APERTURE (2) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111073 | Axcelis | 17308540 | LINER SIDE W-ELS VAP, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111329 | AXCELIS | 17270050 | LINER (5) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111074 | Axcelis | 17170610 | REPELLER STUD W ELS/IAS, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111330 | AXCELIS | 17270030 | LINER (3) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111075 | Axcelis | 17308550 | LINER REPELLER W-ELS, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111331 | AXCELIS | 17F6732 | LINER AMU BELLOWS, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111076 | Axcelis | 17308520 | LINER BOTTOM W-ELS, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111332 | AXCELIS | 17164580 | TARGET GRAPHITE E-SHOWER, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111077 | Axcelis | 17170600 | REPELLER W ELS/IAS, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111333 | AXCELIS | 170150708 | LINER (1) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111078 | Axcelis | 17133340 | CATHODE REPELLER 85% DENSE W-ELS/VAE, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111334 | AXCELIS | 17135440 | GRAPHITE LINER ELECTRON SHOWER, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111079 | Axcelis | 17A0732 | FEED THRU, ALU, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111335 | AXCELIS | 17270040 | LINER (4) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
111080 | Axcelis | 17308560 | LINER CATHODE W-ELS, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111336 | AXCELIS | 170153782 | INSERT GRAPHITE SCE FLANGE - AXCELIS HE3 | Spares | 5 | inquire | ||
111081 | Axcelis | 170093650R13 | CATHODE ASSY TUNGSTEN ELS, 13MM - AXCELIS HE3 | Spares | 5 | inquire | ||
111337 | AXCELIS | 170151676 | LINER L SHAPE HORIZONTAL AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | ||
109546 | Axcelis | Optima MD | Medium Current Ion Implanter | 300 mm | 01.06.2006 | 1 | as is where is | immediately |
111082 | Axcelis | 170093650R15 | CATHODE ASSY TUNGSTEN ELS, 15MM - AXCELIS HE3 | Spares | 5 | inquire | ||
111083 | Axcelis | 170093650R17 | CATHODE ASSY TUNGSTEN ELS, 17MM - AXCELIS HE3 | Spares | 5 | inquire | ||
111084 | Axcelis | 170030630 | CATHODE TUNGSTEN ELS 2X, W, HIGH PURITY - - | Spares | 5 | inquire | ||
111085 | Axcelis | 17287851 | FILAMENT ELS-2X (.040), W - AXCELIS HE3 | Spares | 5 | inquire | ||
111086 | Axcelis | 17335310 | CLAMP FILAMENT ETERNA ELS, TZM, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111087 | Axcelis | 17230090 | ARC SLIT T15 W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
111088 | Axcelis | 17308500 | ARC CHAMBER W-ELS/VAE, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | ||
108730 | BAUSCH & LOMB | StereoZoom 4 | Microscope on Boom Stand, 0.7-3X with 10X W.F. Stereo Eyepieces | 1 | as is where is | |||
108731 | BAUSCH & LOMB | StereoZoom 4 | Microscope on Small Benchtop Stand, 0.7-3X with 15X W.F. Eyepieces | 1 | as is where is | |||
108732 | BAUSCH & LOMB | StereoZoom 6 Plus | Microscope, 0.67-4.0X with WF 10X/21 Eyepieces | 1 | as is where is | |||
108733 | BAUSCH & LOMB | StereoZoom 7 | Microscope on Boom Stand, 1-7X with 15X Eyepieces and 0.67X Adapter Lens | 1 | as is where is | |||
111381 | Beijing Sevenstar Huachuang Electronics Co .,Ltd ,China | L42500-4/ZM (SPARES) | Quartz boat for Two Tube horizontal PECVD | 156mm | 01.06.2010 | 2 | as is fob | immediately |
77095 | Bellows | 10.01.06.00878 | Bellows suction cup 9mm, pack of 12 | 1 | as is where is | |||
108266 | BESI | X-Eye SF160 SL | X-RAY INSPECTION FOR PCBS | ASSEMBLY | 01.06.2014 | 1 | as is where is | |
102643 | BPS | Cyberite | Ion Beam Deposition Tool | 125 mm | 31.05.1999 | 1 | inquire | immediately |
108804 | BRANSON/IPC | Series 4000 | Plasma Barrel Stripper, 10" X 20" Quartz Chamber, S4075-11020 Reactor Center | 1 | inquire | |||
108738 | BRANSON/IPC | 4055/2 | Plasma Surface Treatment Tool w/Shelf Electrodes, 2ea Available | 1 | as is where is | |||
109073 | Brooks | ABM 205 | Robot | Spares | 1 | as is where is | immediately | |
109078 | Brooks | Gemini 2 | Vacuum back end (VBE) with equipment front end module (EFEM) | 200-300 mm | 01.06.2015 | 1 | as is where is | immediately |
109079 | Brooks | 139750-77 | Vacuum back end (VBE) ASSY,VBE,G2-6,L2.5B,M7B,BTH LL,3TP/T,200-300MM | 200-300 mm | 01.06.2015 | 1 | as is where is | immediately |
109080 | Brooks | 241251-0007 | Equipment front end module (EFEM) ASSY,JCP,2SR,S2,WE30,VGVG,XXLO,VIVIXXXX,30B,#3065 | 200 mm and 300 mm | 01.06.2015 | 1 | as is where is | immediately |
106804 | Brooks | ATR-8 robot alone | LAM tool | 300mm | 1 | as is where is | immediately | |
106805 | Brooks | load port | Fixload 6M, Vision, Fixload 25 | 300mm | 10 | inquire | ||
106851 | Brooks | MAG 7 | Wafer Handling Robot | Spares | 1 | as is where is | immediately | |
108392 | Brooks | MTX2000 | Wafer Sorter | 300 mm | 1 | as is where is | ||
109160 | Brooks | MTX 4000 | Wafer Sorter | 300mm | 1 | as is where is | ||
109161 | Brooks | MTX2000 | Wafer Sorter | 300mm | 1 | as is where is | ||
109162 | Brooks | MTX2000 | Wafer Sorter | 300mm | 1 | as is where is | ||
110719 | Brooks | MTX2000/2 | Wafer Sorter | 300 MM | 01.06.2001 | 1 | as is where is | immediately |
106892 | Brooks | MAG 7 | Wafer Handling Robot qty 2 | Spares | 2 | as is where is | immediately | |
106642 | BROOKS | METARA 7200 | Overlay | 200 mm | 01.06.1996 | 1 | as is where is | |
106643 | BROOKS | SORTER MTX 2000 | Wafer Handler | 200 mm | 1 | as is where is | ||
106644 | BROOKS | SORTER MTX 2000 | Wafer Handler | 200 mm | 1 | as is where is | ||
83862 | Brooks | TT1ENR2-1 | Brooks robot Teach Pendant TT1ENR2-1-TVS-ES-Brooks8 | Spares | 1 | as is where is | immediately | |
102553 | Brooks | DBM 2407 V2 | Dual arm Atmospheric wafer handling robot | 200/300mm | 2 | as is where is | immediately | |
110784 | Brooks | Magnatran 7 | Wafer Handling Robot | Spares | 1 | as is where is | immediately | |
108739 | Brooks | Multitran 5 | 3 Axis Robot, Rebuilt | spares | 1 | as is where is | immediately | |
106948 | Brooks | Fixload 6M | SMIF Load Port | 300 mm | 8 | as is where is | immediately | |
74209 | Brooks | 5850 | MFC Mass flow controller | spares | 01.01.2009 | 2 | as is where is | immediately |
108024 | BROOKS | MTX2000 | WAFER SORTER | 300 mm | 01.06.2003 | 1 | as is where is | |
106806 | Brooks / PRI | ABM 205 | single arm atmospheric wafer handling robot | 200/300mm | 2 | as is where is | immediately | |
106807 | Brooks / PRI | ABM/ATM 405 | single arm atmospheric wafer handling robot | 200/300mm | 5 | as is where is | immediately | |
106808 | Brooks / PRI | ABM/ATM 407B | single arm atmospheric wafer handling robot | 200/300mm | 5 | as is where is | immediately | |
106809 | Brooks / PRI | ATM 204/207 | single arm atmospheric wafer handling robot | 200/300mm | 6 | as is where is | immediately | |
106810 | Brooks / PRI | ATM 305/307 | single arm atmospheric wafer handling robot | 200/300 mm | 2 | as is where is | immediately | |
106812 | Brooks / PRI | DBM 2706 | Dual arm Atmospheric wafer handling robot | 200/300mm | 2 | as is where is | immediately | |
106813 | Brooks / PRI | EDC-2400/2800 | PRI robot controller(dual arm robot) | 150/200/300 mm | 5 | as is where is | immediately | |
106814 | Brooks / PRI | PRE 200/200B/201/201B | wafer pre-aligner | 200mm | 2 | as is where is | immediately | |
106815 | Brooks / PRI | PRE 300/300B/301/301B | wafer pre-aligner | 300 mm | 2 | as is where is | immediately | |
106105 | Brooks / PRI | ATM-207-2S-CE | Single arm atmospheric wafer handling robot | 200/300mm | 01.03.2004 | 1 | as is where is | 2 weeks |
98449 | Brooks / PRI | ABM 405 | single arm atmospheric wafer handling robot | 200/300mm | 3 | as is where is | immediately | |
98453 | Brooks / PRI | DBM 2406 | Dual arm Atmospheric wafer handling robot | 200/300mm | 4 | as is where is | immediately | |
98456 | Brooks / PRI | Mag 8 | Vacuum Robot( From an AMAT Producer GT) AMAT p/n 0190-25011 REV 001 | 300 mm | 1 | as is where is | immediately | |
98457 | Brooks / PRI | PRE 200/200B | wafer pre-aligner | 200 mm | 3 | as is where is | immediately | |
102555 | Brooks / PRI | ESC-200/212/212B/222/218 | PRI robot controller( single arm robot) | 150/200/300 | 10 | as is where is | immediately | |
103821 | Brooks Automation | DBM2407-V2 | Dual Arm Robot | Spares | 01.12.2006 | 2 | as is where is | immediately |
103822 | Brooks Automation | DBM2407-V2 | Dual Arm Robot | Spares | 01.12.2006 | 1 | inquire | immediately |
108740 | BROOKS AUTOMATION | ATM-305 | 3 Axis Robot, Refurbished | spares | 1 | as is where is | immediately | |
108042 | Brooks etc | Spare Parts | Various Robots, RF generators and Rf Matches for sale | Spares | 1 | as is where is | immediately | |
106646 | CARL ZEISS | S-INDUSTRIAL | 193 NM LASER | FACILITIES | 1 | as is where is | ||
108976 | Cascade | Summit 12000 | Semi-automatic probe station with Shield Box, Temptronic thermal chuck -65C to 200 C | 200 mm | 01.06.2005 | 1 | as is where is | immediately |
109579 | Cascade Microtech | Summit 11000M | Prober | 200 mm | 1 | as is where is | immediately | |
110700 | Cascade Microtech | 12000B-HS | Prober | 150 mm | 1 | as is where is | immediately | |
103731 | CKPlas | TS-MT510-J, TS-MT610-J | Transfer Solar Wafer Cassette | Solar | 1 | as is where is | immediately | |
80083 | COLUSSI | UG 50 E | AUTOCLAVE FOR STERILIZATION | Laboratory | 01.06.2000 | 1 | as is where is | immediately |
13143 | COSEL | MMC100-2 | POWER SUPPLY | SPARES | 2 | as is where is | immediately | |
109533 | Creative Design Engineering (CDE) | ResMap 178 | Resistivity Mapping System | Up to 200 mm | 01.06.2012 | 1 | as is where is | immediately |
109081 | CTI Cryogenics | 9600 | CRYOGENIC COMPRESSOR | Facilities | 01.06.2015 | 1 | as is where is | immediately |
54562 | CTI cryogenics | CRYOTORR-100 | Cryopump | PUMP | 31.05.1997 | 1 | as is where is | immediately |
94583 | CTI Cryogenics | 8033168 | Torr High Vacuum Pump | PUMP | 1 | as is where is | ||
94584 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump | PUMP | 1 | as is where is | ||
94585 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump | PUMP | 1 | as is where is | ||
94586 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump | PUMP | 1 | as is where is | ||
94587 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump | PUMP | 1 | as is where is | ||
94588 | CTI Cryogenics | 8033168 | Torr High Vacuum Pump | PUMP | 1 | as is where is | immediately | |
94589 | CTI Cryogenics | 8116036 G 002 | Pump On Board | PUMP | 1 | as is where is | ||
94590 | CTI Cryogenics | 80182 G 001 | Torr Pump | PUMP | 1 | as is where is | ||
110749 | CTI Cryogenics | 8033168 | High torr vacuum pump | PUMP | 1 | as is where is | immediately | |
69855 | CTI Cryogenics | Cryotorr 100 | Cryopump | pump | 31.05.1997 | 1 | as is where is | immediately |
106521 | Custom | Polypropylene 3 ft Bench | 3ft Develop Hood Positive Resist Batch | 150 mm/200 mm | 1 | as is where is | immediately | |
106523 | Custom | S-Cubed | Spin Coat Bake System | Clamshell | 1 | as is where is | immediately | |
106647 | CYBER OPTICS | CYBERSCAN C212/110 | Laser Measure | 200 mm | 1 | as is where is | ||
109032 | DDM Novastar | 1800HT | Reflow Oven | SMT | 1 | as is where is | immediately | |
83627 | DENSAN | DSB-S17 | OUTPUT BOARD SDB-S17 | 2 | as is where is | immediately | ||
77186 | Despatch | KK33UH-009-00-4,MC | Thermocouple sensor probe | spares | 1 | as is where is | immediately | |
77187 | Despatch | 164700 | Thermocouple | Spares | 1 | as is where is | immediately | |
108995 | Despatch | CDF 7210 (SPARES) | 55 cm length of furnace belt | spares | 1 | as is where is | immediately | |
111361 | Disco | DAD 3350 | Scriber & Dicer | 1 | as is where is | immediately | ||
111369 | Disco | DCS1440 | Wafer & Mask Scrubber | 1 | as is where is | immediately | ||
109110 | Disco | DAD 3350 | Automatic Dicing Saw | 200 mm | 01.01.2008 | 5 | as is where is | immediately |
109111 | Disco | DFD 6240 | Fully automatic dicing saw | 200 mm | 01.06.2007 | 1 | as is where is | immediately |
106816 | Disco | DAD 321 | Automatic wafer dicing saw | 150 mm | 01.05.2001 | 1 | as is where is | immediately |
108396 | Disco | DFL7160 | Laser Saw | 300 mm | 1 | as is where is | ||
108397 | Disco | DFL7340 | Laser Saw | 200 mm | 01.01.2016 | 1 | as is where is | |
108398 | Disco | DFS8910 | Surface Planarization | 300 mm | 1 | as is where is | ||
109169 | DISCO | DFL7160 | Laser Saw | 300mm | 1 | as is where is | ||
109170 | DISCO | DFL7160 | Laser Saw | 300mm | 1 | as is where is | ||
108155 | DISCO | DFG-82IF/8 | Rotary Surface Grinder | 200 mm | 01.06.1992 | 1 | as is where is | |
110721 | Disco | DFG 850 | Wafer Back Grinder | 200 MM | 01.06.2000 | 1 | as is where is | immediately |
110722 | Disco | DFG 850 | Wafer Back Grinder | 200 MM | 01.06.2004 | 1 | as is where is | immediately |
108951 | Disco | DSC 141 | After Sawing Cleaner | 1 | as is where is | immediately | ||
110745 | Disco | DTU 152 | Water Temperature Controller Unit | Assembly | 01.06.2016 | 5 | as is where is | |
110764 | Disco | DFL7340 | Laser Saw | 200 mm | 01.06.2011 | 1 | as is where is | immediately |
110800 | Disco | DGP8761 with DFM2800 | Wafer Back Grinder with Wafer Taper | 300 mm | 01.06.2012 | 1 | inquire | immediately |
106461 | Disco | DFD6361 | DICING SAW | 150-200 mm | 01.11.2009 | 1 | as is where is | immediately |
106462 | Disco | DFD6362 | DICING SAW | 150 mm/200 mm | 01.05.2016 | 1 | as is where is | immediately |
106463 | Disco | DFD651 | 8" Dual Spindle Automated DICING Saw | 150 mm/200 mm | 01.06.1995 | 1 | as is where is | immediately |
106464 | Disco | DFD651 | 8 inch Dual Spindle Dicing Saw | 150 mm/200 mm | 01.06.2000 | 1 | as is where is | immediately |
90089 | DISCO | DFL7160 | WBL LASER SAW (DAF Type) | 300 mm | 01.05.2012 | 1 | as is where is | immediately |
91658 | DNS | SS-3000-A | Scrubber (4F) | 300 mm | 1 | as is where is | ||
91660 | DNS | SS-3000-A | Scrubber (4F) | 300 mm | 1 | as is where is | ||
91661 | DNS | SS-3000-A | Scrubber (4F) | 300 mm | 31.05.2007 | 1 | as is where is | |
91662 | DNS | SS-3000-AR | Scrubber (2F/2B) | 300 mm | 30.06.2004 | 1 | as is where is | |
91664 | DNS | SS-3000-AR | Scrubber (4B) | 300 mm | 31.05.2005 | 1 | as is where is | |
91667 | DNS | SS-3000-AR | Scrubber (4B) | 300 mm | 31.05.2007 | 1 | as is where is | |
91674 | DNS | SU-3000 | Cleaner (MP Type) (2L/P) | 300 mm | 1 | as is where is | ||
91675 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) (1R) | 300 mm | 1 | as is where is | ||
91677 | DNS | SU-3000 | Cleaner (MP Type) (2L/P) | 300 mm | 1 | as is where is | ||
91678 | DNS | SU-3000 | Cleaner (MP Type) (2L/P) | 300 mm | 1 | as is where is | ||
91679 | DNS | SU-3000 | Cleaner (MP Type) (2L/P) | 300 mm | 1 | as is where is | ||
91680 | DNS | SU-3000 | Cleaner (SR Type) (3L/P) | 300 mm | 1 | as is where is | ||
110624 | DNS | FC-821L | Wet | 200 mm | 1 | as is where is | ||
91681 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) | 300 mm | 1 | as is where is | ||
110625 | DNS | FC-821L | Wet | 200 mm | 1 | as is where is | ||
110626 | DNS | SPW-813A | Wet | 200 mm | 1 | as is where is | ||
91683 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) | 300 mm | 1 | as is where is | ||
91684 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) | 300 mm | 31.05.2008 | 1 | as is where is | |
110628 | DNS | SCW-80A Coater | Lithography Coater and Developer | 200 mm | 1 | as is where is | ||
110629 | DNS | SDW-80A Developer | Lithography Coater and Developer | 200 mm | 1 | as is where is | ||
106022 | DNS | SK 2000 BVPE | COATER AND DEVELOPER TRACK WITH 2 CT, 2 BCT, 4 DEVELOPERS | 200 mm | 01.09.2003 | 1 | as is where is | immediately |
110630 | DNS | SK-3000-BVPEU | Lithography Coater and Developer | 300 mm | 1 | as is where is | ||
106023 | DNS | SK 2000 BVPE | Photoresist coater and developer - 2 ct - 2 bct - 4 dev | 200 mm | 01.03.2004 | 1 | as is where is | immediately |
110637 | DNS | FC3000 | Wet | 300 mm | 1 | as is where is | ||
103518 | DNS | FC3000 | Pre Metal Cleaner | 300 mm | 31.05.2009 | 1 | as is where is | |
103520 | DNS | SS-3000-AR | Wafer Scrubber | 300 mm | 31.05.2003 | 1 | as is where is | |
103521 | DNS | SS-3000-AR | Wafer Scrubber | 300 mm | 31.05.2001 | 1 | as is where is | |
103522 | DNS | SS-3000-AR | Wafer Scrubber (4Back) | 300 mm | 1 | as is where is | ||
108898 | DNS | AS2000 | Oxide Wafer scrubbing system | 200 mm | 01.08.2000 | 1 | as is where is | immediately |
103523 | DNS | SU-3000 | Cleaner (SR Type)(SST) (3LoadPort) | 300 mm | 31.05.2003 | 1 | as is where is | |
103524 | DNS | SU-3000 | Cleaner (MP Type) (2_AM1/2_DHF) (2LoadPort) | 300 mm | 1 | as is where is | ||
110952 | DNS | HT24DI16 | ALGO | Spares | 5 | inquire | ||
110953 | DNS | HT24DIO32 | ALGO | Spares | 5 | inquire | ||
110954 | DNS | Scrubber Spare Parts | DTC/TBC U/D ASSY | Spares | 5 | inquire | ||
110955 | DNS | Scrubber Spare Parts | DBC U/D ASSY | Spares | 5 | inquire | ||
109164 | DNS | SS-3000 | Wafer Scrubber | 300mm | 1 | as is where is | ||
110956 | DNS | AMD322-8US-6-0-4 | CKD | Spares | 5 | inquire | ||
109165 | DNS | SS-3000-AR | Wafer Scrubber | 300mm | 1 | as is where is | ||
110957 | DNS | AMD312-8US-6-24R | CKD | Spares | 5 | inquire | ||
109166 | DNS | SS-3000-AR | Wafer Scrubber | 300mm | 1 | as is where is | ||
110958 | DNS | AMD312-8US-6-0-4 | CKD | Spares | 5 | inquire | ||
109167 | DNS | SS-3000-AR | Wafer Scrubber | 300mm | 1 | as is where is | ||
110959 | DNS | AMD312-X0221 | CKD | Spares | 5 | inquire | ||
109168 | DNS | SS-3000-AR | Wafer Scrubber | 300mm | 1 | as is where is | ||
110960 | DNS | AMD312-8US-6-3-4 | CKD | Spares | 5 | inquire | ||
108401 | DNS | MP-3000 | Single Wafer Processing | 300 mm | 1 | as is where is | ||
110961 | DNS | SGM-A3L3J26 | AC servo motor | Spares | 5 | inquire | ||
110962 | DNS | SGM-A5L314CP | AC servo motor | Spares | 5 | inquire | ||
110963 | DNS | SGM-A3LWJ46 | AC servo motor | Spares | 5 | inquire | ||
110964 | DNS | SGM-A3L3J46P | AC servo motor | Spares | 5 | inquire | ||
110965 | DNS | SGD-A3BH | SERVOPACK | Spares | 5 | inquire | ||
110966 | DNS | SGD-02BH | SERVOPACK | Spares | 5 | inquire | ||
110967 | DNS | MX-7500 | DBC/TBC BRUSH LOAD CELL AMP (TECHNICAL&TRY | Spares | 5 | inquire | ||
110968 | DNS | GTC-2000E | N2 HEAT PACK | Spares | 5 | inquire | ||
110969 | DNS | 739-57668 | N2 FLOW METER(TOKYO KEISO) | Spares | 5 | inquire | ||
110970 | DNS | 739-63356 | FLOW METER(TOKYO KEISO) | Spares | 5 | inquire | ||
110971 | DNS | CDRQ2BS30-180C-A93 | SMC (SHUTER CYLINDER) | Spares | 5 | inquire | ||
108156 | DNS | SS-W80A-AR | Wafer & Mask Scrubber | 200 mm | 01.06.2000 | 1 | as is where is | |
110972 | DNS | Scrubber Spare Parts | MT ARM SENSOR ASSY | Spares | 5 | inquire | ||
108157 | DNS | SU-3000 | Cleaner (SR Type)(SST) (3LoadPort) | 300 mm | 01.06.2004 | 1 | as is where is | |
110973 | DNS | 7-39-33737 | FSB BOARD | Spares | 5 | inquire | ||
110974 | DNS | MS61L | PRESSURE SWITCH | Spares | 5 | inquire | ||
110975 | DNS | NK005-2 | D-SONIC FLOW METER | Spares | 5 | inquire | ||
110976 | DNS | H5CN-XANS | OMRON TIMER | Spares | 5 | inquire | ||
110977 | DNS | H7CL-ADS | OMRON COUNTER | Spares | 5 | inquire | ||
110978 | DNS | Scrubber Spare Parts | PRESSURE GAUGE | Spares | 5 | inquire | ||
110979 | DNS | Scrubber Spare Parts | EXHAUST GAUGE | Spares | 5 | inquire | ||
110980 | DNS | CZ-40P-AP08-D | FLOW SENSOR | Spares | 5 | inquire | ||
110981 | DNS | DP2-20Z | fLOW METER | Spares | 5 | inquire | ||
110982 | DNS | FX-13 | AMPLIFIER | Spares | 5 | inquire | ||
110983 | DNS | E3X-DA21 | OMRON AMPLIFIER | Spares | 5 | inquire | ||
110984 | DNS | N4S010 | CKD | Spares | 5 | inquire | ||
110985 | DNS | Scrubber Spare Parts | SPIN U/D CYLINDER | Spares | 5 | inquire | ||
110986 | DNS | Scrubber Spare Parts | CHUCK O/C CYLINDER | Spares | 5 | inquire | ||
110987 | DNS | KG5025 | LEVEL SENSOR | Spares | 5 | inquire | ||
110988 | DNS | SS-A1 | CONVERTOR | Spares | 5 | inquire | ||
110989 | DNS | Scrubber Spare Parts | CLEAN CUP | Spares | 5 | inquire | ||
110990 | DNS | 700.252.705 | GF+ VALVE | Spares | 5 | inquire | ||
105871 | DNS | 2-39-06099 | NISSHIN VACUUM gauge 0-76 cmHg | spares | 1 | as is where is | immediately | |
110991 | DNS | Scrubber Spare Parts | MT ARM | Spares | 5 | inquire | ||
105872 | DNS | 2-39-06106 | NISSHIN pressure gauge 0-0.5 kgf cm2 | spares | 1 | as is where is | immediately | |
110992 | DNS | Scrubber Spare Parts | SHUT DOOR PULLY | Spares | 5 | inquire | ||
110993 | DNS | Scrubber Spare Parts | MAIN HLS BOARD | Spares | 5 | inquire | ||
110994 | DNS | AMD31-15-12-3 | CKD VALVE | Spares | 5 | inquire | ||
110995 | DNS | AMD41-20-20-5FX-4 | CKD VALVE | Spares | 5 | inquire | ||
93076 | DNS | SK-200W-AVPF | Coater / Developer system (2C/2D) | 200 mm | 31.05.1997 | 1 | as is where is | |
110996 | DNS | Scrubber Spare Parts | D-SONIC ARM | Spares | 5 | inquire | ||
93077 | DNS | SK-200W-BVPE | i-Line Photo Track Coater (3C3D) | 200 mm | 31.05.1997 | 1 | as is where is | |
110997 | DNS | Scrubber Spare Parts | N2 PLATE | Spares | 5 | inquire | ||
106648 | DNS | SS-3000-AR | WET SCRUBBER – Including HDD | 300 mm | 01.06.2007 | 1 | as is where is | |
106649 | DNS | SS-3000-AR | WET SCRUBBER – No HDD | 300 mm | 01.06.2006 | 1 | as is where is | |
108697 | DNS | SU3000 Acquaspin | Wet wafer acid processing | 300 MM | 01.06.2004 | 1 | inquire | |
106650 | DNS | SS-3000-AR | WET SCRUBBER – No HDD | 300 mm | 01.06.2007 | 1 | as is where is | |
106651 | DNS | SS-3000-AR | WET SCRUBBER – No HDD | 300 mm | 01.06.2007 | 1 | as is where is | |
106652 | DNS | SS-3000-AR | Wafer Scrubber (4Back) (Including HDD) | 300 mm | 01.06.2007 | 1 | as is where is | |
106653 | DNS | SS-3000-AR | Wafer Scrubber (4Back) (Including HDD) | 300 mm | 01.06.2007 | 1 | as is where is | |
106654 | DNS | SU3100 | WET ETCH | 300 mm | 1 | as is where is | ||
108201 | DNS | INSPECTION SCOPE | Inspection Scope | 1 | as is where is | |||
91581 | DNS | SK-80BW AVPE | Photoresist Coater and Developer system (2C/2D) | 200 mm | 31.05.1995 | 1 | as is where is | 3 months |
18878 | DNS | 806 9406 | D-SPIN 60A Instruction manual | 1 | as is where is | |||
18879 | DNS | 803-9605 | D-SPIN 80A Parts list | 1 | as is where is | |||
18880 | DNS | 8089702 | D-SPIN 80A Engineer's manual | 1 | as is where is | |||
18881 | DNS | 2229 | D-SPIN 80A Electrical circuit diagram | 1 | as is where is | |||
18882 | DNS | 603 9309 | D-SPIN 60A Part list | 1 | as is where is | |||
93378 | DNS | WS820L | Wet Bench (Porous Silicon Process) | 200 mm | 01.08.2011 | 1 | as is where is | immediately |
18883 | DNS | 006 9105 | D-SPIN 622 Service manual / part list | 1 | as is where is | |||
18884 | DNS | 6398 | D-SPIN 60 A Electrical circuit diagram | 1 | as is where is | |||
18885 | DNS | 6398 | D-SPIN 60 A Part list | 1 | as is where is | |||
18886 | DNS | 6398 | D-SPIN 60A Service manual | 1 | as is where is | |||
18887 | DNS | 806-9311 | D-SPIN 60A Instruction manual | 1 | as is where is | |||
18888 | DNS | 603-9311 | D-SPIN 60A Part list | 1 | as is where is | |||
18889 | DNS | 806-9371 | D-SPIN 60 Instruction manual | 1 | as is where is | |||
98268 | DNS | SS-3100 | WET Scrubber | 300 mm | 31.05.2012 | 1 | inquire | immediately |
94447 | DNS | DNS SS-3000-A | Bevel Scrubber (4F) | 300 mm | 31.05.2006 | 1 | as is where is | |
94448 | DNS | DNS SS-3000-A | Bevel Scrubber (4F) | 300 mm | 31.05.2011 | 1 | as is where is | |
94449 | DNS | DNS SS-3000-A | Wafer Scrubber (4Front) | 300 mm | 1 | as is where is | ||
94450 | DNS | DNS SS-3000-AR | Bevel Scrubber (4B) | 300 mm | 31.05.2008 | 1 | as is where is | |
106738 | DNS | DUOI | ARF-I TRACK | 300 mm | 1 | as is where is | ||
94451 | DNS | DNS SS-3000-AR | Wafer Scrubber (4Back) | 300 mm | 1 | as is where is | ||
94452 | DNS | DNS SS-3000-AR | Wafer Scrubber (4Back) | 300 mm | 1 | as is where is | ||
94454 | DNS | DNS SU-3000 | Cleaner (MP Type)(DHF) (2LoadPort) | 300 mm | 1 | as is where is | ||
94456 | DNS | DNS SU-3000 | Cleaner (SR Type)(SST) (3LoadPort) | 300 mm | 31.05.2004 | 1 | as is where is | |
109567 | DNS | SS-3000-AR | Batch Wafer Cleaner | 300 mm | 01.05.2007 | 1 | as is where is | immediately |
106817 | DNS / Sokuda | RF3S | Photoresist coater and developer( 5C5D) track | 300 mm | 1 | as is where is | immediately | |
110621 | DNS / Sokudo | RF3 | Lithography Coater and Developer | 300 mm | 1 | as is where is | ||
108220 | DNS / SOKUDO | RF3 | Photoresist Coater and Developer Track | 300 mm | 01.06.2019 | 1 | inquire | immediately |
111362 | DNS Dai Nippon Screen | CW2000 | Wet stations | 1 | as is where is | immediately | ||
107440 | Dressler | VM 1000 A | RF Match | Spares | 1 | as is where is | immediately | |
107444 | Dressler | VM 700 A | RF Match | Spares | 1 | as is where is | immediately | |
110046 | Dressler | Cesar 1312 | Generator | SPARES | 1 | inquire | ||
110047 | Dressler | Cesar 1312 | Generator | SPARES | 1 | inquire | ||
110048 | Dressler | Cesar 1312 | Generator | SPARES | 1 | inquire | ||
110049 | Dressler | Cesar 133 | Generator | SPARES | 1 | inquire | ||
110050 | Dressler | Cesar 1330 | Generator | SPARES | 1 | inquire | ||
110051 | Dressler | Cesar 136 | Generator | SPARES | 1 | inquire | ||
110052 | Dressler | Cesar 136 | Generator | SPARES | 1 | inquire | ||
110053 | Dressler | Cesar 136 | Generator | SPARES | 1 | inquire | ||
110054 | Dressler | Cesar 136 | Generator | SPARES | 1 | inquire | ||
110055 | Dressler | Cesar 136 | Generator | SPARES | 1 | inquire | ||
110056 | Dressler | Cesar 136 | Generator | SPARES | 1 | inquire | ||
110057 | Dressler | Cesar 2740 | Generator | SPARES | 1 | inquire | ||
110058 | Dressler | Hilight | Generator | SPARES | 1 | inquire | ||
110059 | Dressler | Hilight 133 | Generator | SPARES | 1 | inquire | ||
110060 | Dressler | Hilight 133 | Generator | SPARES | 1 | inquire | ||
110061 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | ||
110062 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | ||
110063 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | ||
110064 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | ||
110065 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | ||
110066 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | ||
110067 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | ||
110068 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | ||
110069 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | ||
110070 | Dressler | VM 700 A | Match | SPARES | 1 | inquire | ||
107007 | ECO Snow | VersaClean 1200 | Mask / Substrate cleaner | 150 mm | 01.10.2012 | 1 | as is where is | immediately |
80001 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver module for BIB oven | Reliability | 1 | as is where is | immediately | |
80029 | EDA Industries | PCBA 05758 | UBTS Diagnostic Board for BIB oven | Reliability | 1 | as is where is | immediately | |
80030 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver module for BIB oven | Reliability | 1 | as is where is | immediately | |
80031 | EDA Industries | PCBA 05431 | DRIVER module for BIB oven | Reliability | 6 | as is where is | immediately | |
80032 | EDA Industries | PCBA 07009 | PTDM TO ART 200 EXTENDER CARD | Reliability | 1 | as is where is | immediately | |
80040 | EDA Industries | PCBA 05568 REV 1.4 | Pattern test Driver module for BIB oven | Reliability | 1 | as is where is | immediately | |
80041 | EDA Industries | PCBA 05568 REV 1.4 | Pattern test Driver module for BIB oven | Reliability | 1 | as is where is | immediately | |
80042 | EDA Industries | PCBA 05568 REV 1.2 | Pattern test Driver module for BIB oven with 6 drivers | Reliability | 1 | as is where is | immediately | |
80045 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver module for BIB oven with 6 drivers | Reliability | 1 | as is where is | immediately | |
80052 | EDA Industries | PCBA 05568 REV 1.3 | Pattern test Driver module for BIB oven with 3 x PSU | Reliability | 1 | as is where is | immediately | |
80080 | EDA Industries | PCBA 05568 REV 1.4 | Pattern test Driver PCB for BIB oven | Reliability | 1 | as is where is | immediately | |
80081 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver PCB for BIB oven with 6 drivers | Reliability | 1 | as is where is | immediately | |
80082 | EDA Industries | PCBA 05568 REV 1.2 | Pattern test Driver module for BIB oven with 3 x PSU | Reliability | 1 | as is where is | immediately | |
80084 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver PCB for BIB oven with 6 drivers | Reliability | 1 | as is where is | immediately | |
80033 | EDA Industries ERNI | 914796 RC | BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated). | Reliability | 256 | as is where is | immediately | |
82180 | Edwards | Flange | Edwards pump flange 10 7/8" i.d. 11 3/8" O.D. | 1 | as is where is | immediately | ||
109572 | EDWARDS | iH1000 Mark 5 | Dry vacuum Pump | PUMP | 50 | as is where is | immediately | |
109573 | EDWARDS | iH1800 Mark 5 | Dry vacuum Pump | PUMP | 35 | as is where is | immediately | |
108812 | EDWARDS | E2M5 | Mechanical Vacuum Pump | PUMP | 1 | inquire | ||
108813 | EDWARDS | E2M18 | Mechanical Vacuum Pump | PUMP | 1 | inquire | ||
108814 | EDWARDS | iQDP80/QMB500 | Dry Vacuum Pump/Blower Package, with Lower Enclosure | PUMP | 1 | inquire | ||
108564 | Edwards | EPX500NE | Mechanical Vacuum Pump | Pump | 1 | as is where is | immediately | |
95559 | Edwards | iQDP40 | Dry Mechanical Pump | Pump | 01.05.2000 | 1 | as is where is | immediately |
108623 | EDWARDS | QMB500 | Vacuum Pump | PUMP | 3 | as is where is | ||
108624 | EDWARDS | IPX100A | Vacuum Pump | PUMP | 3 | as is where is | ||
108625 | EDWARDS | IPX100 | Vacuum Pump | PUMP | 1 | as is where is | ||
108626 | EDWARDS | IH1800 | Vacuum Pump | PUMP | 15 | as is where is | ||
108627 | EDWARDS | IH1000 | Vacuum Pump | PUMP | 21 | as is where is | ||
108628 | EDWARDS | IGX100L | Vacuum Pump | PUMP | 1 | as is where is | ||
108629 | EDWARDS | IGX1000N | Vacuum Pump | PUMP | 1 | as is where is | ||
108630 | EDWARDS | EPXTWIN180L | Vacuum Pump | PUMP | 3 | as is where is | ||
108631 | EDWARDS | EPX500LE | Vacuum Pump | PUMP | 5 | as is where is | ||
108632 | EDWARDS | EPX180NE | Vacuum Pump | PUMP | 3 | as is where is | ||
108633 | EDWARDS | EPX180LE | Vacuum Pump | PUMP | 7 | as is where is | ||
108634 | EDWARDS | EPX180L | Vacuum Pump | PUMP | 1 | as is where is | ||
108635 | EDWARDS | EPX 500NE | Vacuum Pump | PUMP | 1 | as is where is | ||
83582 | EDWARDS | ETM63X PLASMA | HEATING CONTROL UNIT | 1 | as is where is | immediately | ||
77209 | Edwards | Flange | Edwards pump flange 5 1/8" OD 4" ID | 1 | as is where is | immediately | ||
106919 | Edwards | STP-A1303C | TURBOMOLECULAR PUMP | PUMP | 01.06.2002 | 1 | as is where is | immediately |
18865 | EDWARDS | A529-80-905 | QMKII dry pumping system | 2 | as is where is | |||
72127 | Edwards | Speedivalve | SPEEDI VALVE | Spares | 1 | as is where is | immediately | |
72128 | Edwards | 040020030 | Cable, motor drive, 5M seiko | Spares | 1 | as is where is | immediately | |
54217 | Edwards | iQDP80 / QMB1200 | Dry Vacuum Pump combo | pump | 01.03.2007 | 3 | as is where is | immediately |
54218 | Edwards | iQDP80 / QMB1200 | Dry Vacuum Pump combo | pump | 01.03.2007 | 1 | as is where is | immediately |
54219 | Edwards | iQDP80 / QMB1200 | Dry Vacuum Pump combo | pump | 01.03.2007 | 1 | as is where is | immediately |
54220 | Edwards | QDP80 + QMB 250F | Dry Vacuum Pump combo | pump | 31.05.2000 | 1 | as is where is | immediately |
74445 | Edwards | iQDP 80 | Dry vacuum pump | PUMP | 01.06.2000 | 1 | as is where is | |
54221 | Edwards | QDP80 + QMB 250F | Dry Vacuum Pump combo | pump | 31.05.2000 | 1 | as is where is | immediately |
74446 | Edwards | iQDP 80 | Dry vacuum pump | PUMP | 01.06.2000 | 1 | as is where is | |
54222 | Edwards | QDP80 | Dry Vacuum Pump | pump | 31.05.2000 | 1 | as is where is | immediately |
74447 | Edwards | iQDP 80 + QMB 500F | Dry vacuum pump COMBO | PUMP | 01.06.2000 | 1 | as is where is | |
74448 | Edwards | iQDP 80 + QMB 500F | Dry vacuum pump combo | PUMP | 01.06.2000 | 1 | as is where is | |
74449 | Edwards | iQDP 80 + QMB 500F | Dry vacuum pump combo | PUMP | 01.06.2000 | 1 | as is where is | |
74450 | Edwards | iQDP 80 + QMB250F | Dry vacuum pump combo | PUMP | 01.06.2000 | 1 | as is where is | |
106972 | Edwards | QDP80 Drystar | Dry Vacuum pump with power box | pump | 01.06.1995 | 1 | as is where is | immediately |
106973 | Edwards | QDP80 + QMB250F | Dry Vacuum pump combo with power box | pump | 01.06.1995 | 1 | as is where is | immediately |
106974 | Edwards | QDP40 + QMB250F | Dry Vacuum pump combo with power box | pump | 01.06.1995 | 1 | as is where is | immediately |
106975 | Edwards | QDP80 + QMB250F | Dry Vacuum pump combo with power box | pump | 01.06.1995 | 1 | as is where is | immediately |
11233 | EDWARDS | GVI 100P | Gate valve | SPARES | 1 | as is where is | immediately | |
18921 | EDWARDS | 03-A344-02-881 | Rotary vacuum pump E1M40, E1M80,E2M40,E2M80 | 1 | as is where is | |||
18922 | EDWARDS | 03-A301-51-883 | Eh series mechanical booster pump and combination units | 1 | as is where is | |||
18923 | EDWARDS | 03-A344-02-882 | Rotary vacuum pump E1M40,E2M40,E2M80 and E2M40S, E2M80S superpumps | 1 | as is where is | |||
69878 | Edwards / Seiko Seiki | STP 1000C | TURBO PUMP TMP 100C 250 ISO-K/KF40 | VACUUM PUMP | 01.10.1999 | 1 | as is where is | immediately |
108041 | EDWARDS. ETC. | Vacuum Pumps | Various Vacuum Pumps, Tubo Pumps, Controllers for sale | Spares | 1 | as is where is | immediately | |
78132 | Electroglas | Horizon 4085X | Fully Automatic Prober with Optem microscope and an inker | 125 mm, 150 mm and 200 mm | 01.01.1998 | 1 | as is where is | immediately |
91591 | ELECTROGLAS | EG5300 | Prober | 300 mm | 1 | as is where is | ||
91592 | ELECTROGLAS | EG5/300 A | Prober | 300 mm | 31.12.2004 | 1 | as is where is | immediately |
91593 | ELECTROGLAS | EG5300 | Prober | 300 mm | 1 | as is where is | ||
99387 | ELES | ART 200 | Debug Station for Reliability Test System | RELIABILITY | 1 | as is where is | immediately | |
83513 | Entegris | RSPX-EUV-036 | Reticle Direct Purge Cabinet | Facilities | 01.07.2010 | 1 | as is where is | immediately |
108955 | entegris | PH9150 | Wafer Transportation Box 6" - CLEANED | Spares 150 MM | 26 | as is where is | immediately | |
108957 | Entegris | Box 2" | Wafer Transportation Box 2" | SPARES 50 MM | 330 | as is where is | immediately | |
108958 | Entegris | A72-40MB-0215 | Teflon Carrier 4" | SPARES 100 MM | 57 | as is where is | immediately | |
108959 | Entegris | PH9100 | Wafer Transportation Box 4" | SPARES 100 MM | 29 | as is where is | immediately | |
108064 | EO Technics | EO 9702 | Laser marking | 1 | as is where is | |||
108641 | EO TECHNICS | CSM 2000 | Chip scale laser wafer marker | 150 mm/200 mm | 01.06.2006 | 1 | as is where is | immediately |
98462 | EO TECHNICS | CSM 3000 | Chip Scale laser marker | 200 mm/300 mm | 01.06.2005 | 1 | as is where is | immediately |
106469 | EO Technics | CSM2000 | CHIP SCALE LASER MARKER | 150 mm/200 mm | 1 | as is where is | immediately | |
106470 | EO TECHNICS | CSM2000 | CHIP SCALE LASER MARKER | 200 mm | 01.06.2005 | 1 | as is where is | immediately |
82286 | ESA | ET5200LX2000 | Touch Screen Computer | spares | 01.10.2007 | 1 | as is where is | immediately |
108815 | ESEC | CT-2000 | Automatic Flip Chip Die Attacher, 3ea Available | ASSEMBLY | 1 | inquire | ||
100704 | ESEC | 3018 | Gold Ball Bonder | Assembly | 1 | as is where is | immediately | |
100705 | ESEC | 3088 | Gold Ball Bonder | Assembly | 1 | as is where is | immediately | |
110778 | ESEC | 2008-XP | Die Bonder | Assembly | 01.06.2004 | 1 | ||
110779 | ESEC | 2006HR | Die Bonder, with Eutectic bonding capability | Assembly | 1 | inquire | immediately | |
108749 | ESEC | BU-050-N | Parts Unloader for Micron 2 Automatic Die Attacher | 1 | inquire | |||
108750 | ESEC | BL-050-N | Parts Loader for Micron 2 Automatic Die Attacher | 1 | inquire | |||
83739 | ESI | 44 | COMPLETE SET OF LASER TRIMMER SPARE PCBS AND PARTS | SPARES | 27 | as is where is | immediately | |
83796 | ESI | 29286 | ESI pcb Servo Preamp | Spares | 1 | as is where is | immediately | |
83797 | ESI | 29282 | ESI pcb Transducer Preamp | Spares | 1 | as is where is | immediately | |
83798 | ESI | 43175 | 4 phase encoder logic assy | Spares | 1 | as is where is | immediately | |
83799 | ESI | 929284 | MAX Velocity control board | Spares | 1 | as is where is | immediately | |
83801 | ESI | 40898 | ESI pcb Interrupt control pcb | Spares | 1 | as is where is | immediately | |
83802 | ESI | 42328 | ESI pcb Precharged Servo Preamp | Spares | 1 | as is where is | immediately | |
83803 | ESI | 29278 | ESI pcb Power Amplifier driver for ESI 44 fuser | Spares | 1 | as is where is | immediately | |
83804 | ESI | 29800 | Driver power supply | 1 | as is where is | immediately | ||
83813 | ESI | 41751 | Transducer Buffer PCB for ESI model 44 laser fuser | Spares | 2 | as is where is | immediately | |
83814 | ESI | 42251 | Approach Control PCB for ESI 44 | Spares | 1 | as is where is | immediately | |
83815 | ESI | 29292 | ESI pcb Position Encoder Logic for ESI 44 fuser | Spares | 1 | as is where is | immediately | |
83816 | ESI | 42119 | ESI pcb 4 Phase Control Module | 1 | as is where is | immediately | ||
83817 | ESI | 42253 | ESI pcb Power Amplifier driver for ESI 44 fuser | Spares | 1 | as is where is | immediately | |
83818 | ESI | 42252 | ESI pcb Acceleration Control Assy for ESI 44 fuser | Spares | 1 | as is where is | immediately | |
83820 | ESI | 24971 | Manual Functions PC Board FOR ESI MODEL 44 LASER TRIMMER | Spares | 1 | as is where is | immediately | |
83822 | ESI | 41207 | IEEE 488 Interface FOR ESI 44 LASER TRIMMER | SPARES | 1 | as is where is | immediately | |
83857 | ESI | 48503 | Linear motor/Laser Control PC Board FROM ESI MODEL 44 TRIMMER | Spares | 2 | as is where is | immediately | |
83858 | ESI | 24961 | Extender Board PC Board w/ cables FOR ESI 44 LASER TRIMMER | Spares | 2 | as is where is | immediately | |
83859 | ESI | 42356 | S&R Interface PC Board FOR ESI MODEL 44 LASER TRIMMER | Spares | 1 | as is where is | immediately | |
83860 | ESI | 24955 | Scanner Module PC Board for ESI 44 fuser | spares | 3 | as is where is | immediately | |
36507 | ESI | M9275 | LASER REPAIR SYSTEM | 01.12.1998 | 1 | inquire | ||
106656 | ESI | HD 9830 | Laser Repair | 200 mm,300 mm | 01.06.2006 | 1 | as is where is | |
106657 | ESI | HD 9830 | Laser Repair | 200 mm,300 mm | 01.06.2006 | 1 | as is where is | |
106658 | ESI | HD 9830 | Laser Repair | 200 mm,300 mm | 01.06.2006 | 1 | as is where is | |
106659 | ESI | HDE 9830 | Laser Repair | 200 mm,300 mm | 01.06.2006 | 1 | as is where is | |
110755 | ESI | HD9830 | Laser Fuser | 3 | as is where is | immediately | ||
106660 | ESI | HDE 9830 | Laser Repair | 200 mm,300 mm | 01.06.2007 | 1 | as is where is | |
110758 | ESI | 9350 | Laser Repair System | 200 mm | 01.06.2005 | 1 | as is where is | immediately |
83936 | ESI | 41506 | Bridge Calibrator PCB for ESI 44 LASER TRIMMER | Spares | 1 | as is where is | immediately | |
84210 | ESI | Power Assy | Power supply assy, ESI 44/4400 | 1 | as is where is | immediately | ||
106899 | ESI ELECTRO SCIENTIFIC INDUSTRIES | 146900-01 REV A | Lower Pneumatic Control Box for ESI 9830 | spares | 01.09.2007 | 1 | as is where is | 2 weeks |
110756 | ESI ELECTRO SCIENTIFIC INDUSTRIES | 9825 | Laser Repair System | 300 mm and 200 mm | 01.10.2003 | 10 | as is where is | immediately |
108065 | ESPEC | STPH-101 | OVEN | OVEN | 1 | as is where is | ||
108066 | ESPEC | TSB 51 | Shock Chamber | RELIABILITY | 1 | as is where is | ||
109175 | Evatech/Balzers | BAK SPLIT | PVD (Physical Vapor Deposition) | 200mm | 1 | as is where is | ||
109176 | Evatech/Balzers | BAK SPLIT | PVD (Physical Vapor Deposition) | 200mm | 1 | as is where is | ||
108412 | Evatech/Balzers | BAK SPLIT | PVD (Physical Vapor Deposition) | 5 | as is where is | |||
83515 | Extraction Systems | TMB 150 | Photoresist Contamination Monitor System / Total Amine Analyzer | Facilities | 31.05.2004 | 1 | as is where is | immediately |
80169 | Festo | 150857 SME-8-S-LED-24 | Proximity switch | 01.12.2012 | 1 | as is where is | immediately | |
108817 | FOUR DIMENSIONS | CV92A | Semi Auomatic Mercury Probe CV Plotter | up to 200 mm | 01.06.1998 | 1 | inquire | immediately |
110723 | Four Dimensions | CVMAP 3092-A | Wafer CV mapper | 100-200 MM | 01.06.2005 | 1 | as is where is | immediately |
86277 | FSI | Polaris 3500 (Spares) | staubli rx 90 robot control unit 308998-001 RX 90 CONTR.1 | spares | 01.09.2000 | 1 | as is where is | immediately |
110622 | FSI | ExcaliburISR | HF Vapor Cleaning | 200 mm | 1 | as is where is | ||
106015 | FSI | Polaris 3500 (Spares) | POWER SUPPLY, CONVERSION EQUIPMENT CORP. M/N 05D-0189-01 | Spares | 1 | as is where is | immediately | |
83516 | FSI | Polaris 3500 (Spares) | Spares for DUV photoresist coater / developer track | spares | 01.05.2000 | 1 | as is where is | immediately |
95574 | FSI | Polaris 3500 (Spares) 294157-200 REV C | PCB, MODULE DRIP AND GUARD INPUTS | Spares | 1 | as is where is | immediately | |
95575 | FSI | Polaris 3500 (Spares) IKEGAMI PM-930A | PICURE MONITOR | Spares | 1 | as is where is | immediately | |
95576 | FSI | Polaris 3500 (Spares) VT106 | 10 INCH VGA MONOCHROME MONITOR | Spares | 2 | as is where is | immediately | |
95577 | FSI | Polaris 3500 (Spares) IBM 8228 P/N 6091014 | Multistation Access Unit | Spares | 2 | as is where is | immediately | |
95578 | FSI | Polaris 3500 (Spares) Proteon P7228 rev h | PROTEON PRONET P7228 8 PORT | Spares | 2 | as is where is | immediately | |
95579 | FSI | Polaris 3500 (Spares) Patlite SL-V | Signal Tower, 2 color, Amber, Red | Spares | 2 | as is where is | immediately | |
95580 | FSI | Polaris 3500 (Spares) | Power box for 200 mm note track | Spares | 1 | as is where is | immediately | |
95581 | FSI | Polaris 3500 (Spares) | PLAST-O-MATIC PRD075B-PP VALVE | Spares | 2 | as is where is | immediately | |
95582 | FSI | Polaris 3500 (Spares) | PCB, FSI A/N 294084-200C | Spares | 1 | as is where is | immediately | |
95583 | FSI | Polaris 3500 (Spares) | DIGI ACCELPORT PCB 55000534 REV F | Spares | 1 | as is where is | immediately | |
95584 | FSI | Polaris 3500 (Spares) | PCB, FSI 200-0097 REV B MVS 8100 FRAME GRABBER | Spares | 1 | as is where is | immediately | |
95585 | FSI | Polaris 3500 (Spares) | PCB, FSI 61-0061-10 EXP-BP5 | Spares | 1 | as is where is | immediately | |
95586 | FSI | Polaris 3500 (Spares) | PCB, FSI 33000-472, VME MONOLITHIC 12 SLOT | Spares | 1 | as is where is | immediately | |
95587 | FSI | Polaris 3500 (Spares) | PCB, FSI 10444-01AA | Spares | 31.05.1999 | 1 | as is where is | immediately |
95588 | FSI | Polaris 3500 (Spares) | PCB, FSI SP 586T (00-065-0015 REV D) SINGLE BOARD COMPUTER | Spares | 01.05.1999 | 1 | as is where is | immediately |
95591 | FSI | Polaris 3500 (Spares) | Power Supply, Densei-Lambda Alpha 250S | Spares | 31.05.1999 | 1 | as is where is | immediately |
95592 | FSI | Polaris 3500 (Spares) | GAS SENSOR, FSI P/N 841-004-i | Spares | 1 | as is where is | immediately | |
95593 | FSI | Polaris 3500 (Spares) | ATEN CS-122 CPU SWITCH FOR PS/2 PC/AT | Spares | 1 | as is where is | immediately | |
95595 | FSI | Polaris 3500 (Spares) | PLATE ASSEMBLY | Spares | 31.08.1995 | 1 | as is where is | immediately |
95596 | FSI | Polaris 3500 (Spares) | PLASTIC COVER | Spares | 1 | as is where is | immediately | |
95602 | FSI | Polaris 3500 (Spares) | FSI P/N 05D-0167-03A1 POWER SUPPLY, DC BATTERY BACKUP 05D016703A1 | Spares | 1 | as is where is | immediately | |
95603 | FSI | Polaris 3500 (Spares) | FSI P/N 917410-002C POWER BOX WITH BOARD CT 294159-200C | Spares | 31.01.2000 | 1 | as is where is | immediately |
95604 | FSI | Polaris 3500 (Spares) | FSI P/N 917803-001B DWR-DSP CABLE, 25 CM | Spares | 1 | as is where is | immediately | |
95605 | FSI | Polaris 3500 (Spares) | FSI P/N IB1-7000T 2 FEET CABLE 0795 | Spares | 1 | as is where is | immediately | |
95606 | FSI | Polaris 3500 (Spares) | FSI P/N IB1-7000T , CABLE 2 FEET 0795 | Spares | 30.06.1995 | 1 | as is where is | immediately |
95607 | FSI | Polaris 3500 (Spares) | FSI P/N POWER CABLE, LENGTH 135 CM | Spares | 1 | as is where is | immediately | |
95608 | FSI | Polaris 3500 (Spares) | FSI P/N 8454-AWM-4097-MT BELDEN CABLE, LENGHT 34 CM | Spares | 1 | as is where is | immediately | |
106873 | FSI | Zeta 300 G3 | Wafer Acid Spray Cleaner, Hot SPM Process | 300 mm | 01.01.2012 | 1 | as is where is | immediately |
109177 | FSI | Zeta 300 G3 | Batch Wafer Processing | 300mm | 1 | as is where is | ||
105877 | FSI | Mercury (Spare Parts) | Brand New Chemical Canister, HF Coded | Spares | 1 | as is where is | immediately | |
108950 | FSI | Mercury (Spare Parts) | 586 CPU Board for an FSi Mercury | Spares | 1 | inquire | immediately | |
105880 | FSI | Mercury (Spare Parts) | Used Chemical Canister, HCl Coded | Spares | 1 | as is where is | immediately | |
18849 | FSI | 906498-100 | FSI CHEM FILL Dec scotland system manual and print | 1 | as is where is | |||
18850 | FSI | 905403-001 | Print and Product Structures (Poly) Mercury MP | 1 | as is where is | |||
18851 | FSI | 907575-100 | Mercury MP spray processing system operation and maintenance manual | manual | 01.05.1994 | 1 | as is where is | immediately |
18852 | FSI | 501666-000 | Operations and maintenance Excalibur ISR | 2 | as is where is | |||
18853 | FSI | 500539-001 | Saturn MP comprehensive technical package | 2 | as is where is | |||
18854 | FSI | 906496-100 | Bit bus node installation system requirement and guidelines | 1 | as is where is | |||
18855 | FSI | 906487 | Chemblend 350 operation and maintenance manual | 2 | as is where is | |||
18856 | FSI | 905521 | Model 1000 CDM operations and maintenance manual | 1 | as is where is | |||
18857 | FSI | 501442-058 | Booster pump operations and maintenance | 1 | as is where is | |||
18858 | FSI | 504099-001 | Motorola mos 16 PLC expansion project | 2 | as is where is | |||
18859 | FSI | 912631 | Excalibur ISR and EOS systems operations and maintenance manual | 2 | as is where is | |||
18860 | FSI | 903943-200 | Heated recirculations operations and maintenance manual | 1 | as is where is | |||
27820 | FSI | Excalibur ISR & EOS | Manual | 1 | ||||
18861 | FSI | 906488-100 | Model 1000 CDM operations and maintenance manual | 1 | as is where is | |||
27821 | FSI | Proteus 11 | Manual | 1 | ||||
108717 | FSI | Polaris 3500 | Mini Photolithography Track without coaters and developers | 300 MM / 200 mm | 01.06.2004 | 1 | as is where is | immediately |
18862 | FSI | 916197-100 | Model 1000 CDM ( ce-marked with PPS ) operations and maintenance manual | 1 | as is where is | |||
27822 | FSI | Chemfill systems | Manual | manual | 1 | |||
18863 | FSI | 903893-200 | Helios 52 di water meter operation and maintenance manual | 2 | as is where is | |||
27823 | FSI | Excalibur | Manual | 1 | ||||
27824 | FSI | SATURN & TITAN | Manuals | 1 | ||||
27825 | FSI | Mercury | Manual | 1 | ||||
27826 | FSI | Mercury MP | Operation and Maintenance Manual | Manual | 1 | as is where is | immediately | |
27827 | FSI | Excalibur ISR | Manual | 2 | ||||
27828 | FSI | 912629 | Manual | 1 | ||||
27829 | FSI | 904602-200 | Manual | 1 | ||||
27830 | FSI | 906496-100 | Manual | 1 | ||||
27835 | FSI | Excalibur | Manual 905364-200 | 1 | ||||
27836 | FSI | Excalibur ISR | Manual Prints & Product Structures | 1 | ||||
27837 | FSI | Booster Pump | Manual | 1 | ||||
27838 | FSI | Saturn MP | Manual 500539-001 | 1 | ||||
27839 | FSI | Saturn MP | Manual 500539-004 | 3 | ||||
27840 | FSI | Booster Pump | Manual | 1 | as is where is | immediately | ||
27841 | FSI | Proteus 1 | Manual | 1 | ||||
27842 | FSI | Saturn OC | Manuals | 2 | ||||
27871 | FSI | ISR Maual | In Situ Rinse Prints & Parts Structures Manual | 1 | ||||
27872 | FSI | Excalibur | Vapour Phase Processing system Supplementary training manual | 1 | ||||
27873 | FSI | PLC Expansion | PLC expansion Project Manual | 1 | ||||
27874 | FSI | 907051-100 | Excalibur ISR Operation and Maintenance Manual | 1 | ||||
27875 | FSI | HELIOS 52 | Prints & Products structures Manual | 1 | ||||
27876 | FSI | Excalibur 200mm | Supplier Manual | 2 | ||||
27877 | FSI | 500539-004 | Parts & Structures Manual | 1 | ||||
27878 | FSI | Saturn | Manual General | 1 | ||||
27879 | FSI | Mercury MP | Spray Processing system Operation & Maintenance Manual | Manual | 1 | as is where is | immediately | |
27880 | FSI | Chemfill systems | Model 1000 CDM/ PPS Manual Rev D | manual | 2 | as is where is | immediately | |
27881 | FSI | Chemfill systems - Manual | Model 1000-4 PLC CDM Supplementary Training Package | Manual | 1 | as is where is | immediately | |
109553 | FSI | Zeta 300 G3 | Wafer Acid Spray Cleaner, Hot SPM Process | 300 mm | 01.04.2007 | 1 | as is where is | immediately |
75296 | FSI * | Mercury MP * | Acid Spray Process System | 200 mm | 1 | inquire | 1 month | |
93084 | FSM | SYMPHONYMC | Life Time | 300 MM | 1 | as is where is | ||
83616 | FSP GROUP INC | 100-240 W | POWER SUPPLY for a p.c. | 10A 50-60 Hz | 01.01.2008 | 10 | as is where is | immediately |
84260 | FUJI SEIKI & SILENT | FA-1612HCB-C | FA.FWM. | 1 | ||||
83544 | Fujitsu Denso | FDS26-29 | 750W 26V29A Power supply FOR ADVANTEST T5335P TESTER | Spares | 01.02.1996 | 2 | as is where is | immediately |
83549 | Fujitsu Denso | FDS11+11 | 750W 34A Power supply FOR ADVANTEST T5335P TESTER | Spares | 01.12.1995 | 1 | as is where is | immediately |
84075 | Gasonics | DTC2280 | ISA IDE control board floppy HDD | 1 | as is where is | immediately | ||
91348 | Gasonics | IRIDIA 4800 DL | Stripper / Asher | 31.05.1998 | 1 | as is where is | ||
91349 | Gasonics | IRIDIA 4800 DL | Stripper / Asher | 1 | as is where is | |||
91351 | Gasonics | IRIDIA 4800 DL | Stripper / Asher | 31.05.1998 | 1 | as is where is | ||
110314 | GASONICS | Gasonics IPC Match | Match | SPARES | 1 | inquire | ||
110315 | GASONICS | Gasonics IPC Match | Match | SPARES | 1 | inquire | ||
110316 | GASONICS | Gasonics Match | Match | SPARES | 1 | inquire | ||
94596 | Genesys | DC Power Supplies | SPARES | 1 | as is where is | |||
94597 | Genesys | DC Power Supplies | SPARES | 1 | as is where is | |||
110319 | Glassman High Voltage, Inc | PS/FL1.5F1.0 | Generator | SPARES | 1 | inquire | ||
79892 | Gossen Konstanter | IEC625 | Laboratory Power supply Gossen Konstanter UOP | Electronics Test and Measurement | 1 | as is where is | immediately | |
83800 | GSC | P140-74 | Gleichstrom Servo Motor | 1 | as is where is | immediately | ||
108158 | GSI | CSP200 | Wafer Level Die Marking System | 200 mm | 1 | as is where is | ||
111367 | HAMAMATSU | L8488-01 LC5 | Lightning Cure | 1 | as is where is | immediately | ||
71907 | Hamamatsu | C7103 | PC Controlled IC Back-side Lapping and Wafer Grinding System | 200 mm and packages | 30.09.2001 | 1 | as is where is | immediately |
110596 | Hesse & Knipps | BJ 820 | Magazine to magazine transport system for wirebonder | Assembly | 01.05.2013 | 1 | as is where is | immediately |
109282 | Hesse & Knipps | BJ855 and BJ820 | Wedge Bonders , magazine to Magazine | Assembly | 01.06.2019 | 26 | as is where is | immediately |
82181 | hps mks | 90 degree flange | HPS MKS Stainless Steel VACUUM FITTING | 1 | as is where is | immediately | ||
106667 | HSEB | AXIOSPECT 300 | MICROSCOPE INSPECTION STATION | 300 mm | 1 | as is where is | ||
108819 | HYPERVISION | Visionary 2 | Emmission Microscope with Karl Suss PM-8 Analytical Prober | 1 | inquire | |||
74236 | ICP DAS | DB-8025 | 2-port Data Acquisition Board | 01.01.2008 | 2 | as is where is | ||
77004 | ICP DAS Omega | DB-16R | Daughter Board | Spares | 01.01.2006 | 2 | as is where is | immediately |
84238 | IGUS | 07.10.038.0 | Belt | Spares | 1 | as is where is | immediately | |
83617 | IKO NIPPON THOMPSON | LWHT 20 C1 R760 B T1 H S2 | LINEAR BEARING AND RAIL | 30.11.2008 | 1 | as is where is | immediately | |
83618 | IKO NIPPON THOMPSON | T1 H S2 | LINEAR BEARING AND RAIL | 30.11.2008 | 1 | as is where is | immediately | |
83619 | IKO NIPPON THOMPSON | BCS H S2 | LINEAR BEARING AND RAIL | 30.11.2003 | 1 | as is where is | immediately | |
83626 | IKO NIPPON THOMPSON | PS1 | LINEAR BEARING | 30.11.2003 | 1 | as is where is | immediately | |
84222 | IKO NIPPON THOMPSON | LWL 12 C2 | LINEAR BEARING AND RAIL | Spares | 01.11.2008 | 1 | as is where is | immediately |
77151 | Ingersoll Rand | ANAQK-ABXAB-160 | Provenair HT Pneumatic Cylinder | Spares | 01.01.2008 | 1 | as is where is | immediately |
108821 | INNOLAS | ILS 700 P | Laser Drill | SOLAR | 1 | inquire | ||
56141 | Innolas | ILS 700P | Laser Edge Isolation | 156 mm | 01.11.2006 | 1 | as is where is | immediately |
110724 | Innolas | C3000DPS | Wafer Marking System | 300 MM | 01.06.2002 | 1 | as is where is | immediately |
86677 | InTest | Test Head | CPIT TEP8 / STFLASH EPROM / 1792 Test Head | Spares | 31.05.2007 | 1 | as is where is | immediately |
108970 | IsMeca | NX16 | TEST SYSTEM | TEST | 01.06.2011 | 1 | as is where is | immediately |
108754 | IWASHITA | Shotmatic 3 | Epoxy Dispenser, 2ea Available | 1 | inquire | |||
98726 | Jonas and Redmann | SDB | Automated Loader for Baccini Printing Line | Solar | 31.05.2008 | 1 | as is where is | immediately |
98727 | Jonas and Redmann | WHD (Wafer Handling Diffusion) | Automated Loader for Centrotherm E2000 Furnace | Solar | 01.05.2008 | 1 | as is where is | immediately |
98728 | Jonas and Redmann | WHP (Wafer Handling Plasm) | Automated Loader for Anti Reflection Coating System | Solar | 01.05.2008 | 1 | as is where is | immediately |
77014 | Jonas and Redmann | SDB A | AUTOMATED Loader for baccini Print Line | 156 mm | 01.06.2006 | 1 | as is where is | immediately |
56310 | Jonas and Redmann | Q2 WHD A | Loader for Centrotherm E2000 furnace | 156 mm and 125 mm | 31.05.2003 | 1 | as is where is | immediately |
108415 | Jordan Valley Semiconductors LTD | JVX 7200 | X-ray Fluorescence Spectrometer | 300 mm | 1 | as is where is | ||
84552 | JSC Systems | 510 | Controller | spares | 1 | as is where is | immediately | |
109042 | JST | CLV | IPA Wafer Dryer | 200 mm | 01.12.2005 | 1 | as is where is | immediately |
106917 | K AND S | SPRINT | HIGHSPEED AUTOMATIC WIRE BONDER | ASSEMBLY | 1 | as is where is | immediately | |
18866 | K AND S | 98060-0000-001-01 | Manual for Model 8060 automatic wedge bonder | 1 | as is where is | |||
109028 | K AND S | 8028 | Automatic Ball Bonder | Assembly | 01.03.2000 | 1 | as is where is | immediately |
111380 | K&S | Power Fusion HT1 | Wedge Bonder | 1 | as is where is | immediately | ||
108823 | K&S | 4123 | Manual Wedge Bonder | ASSEMBLY | 1 | inquire | ||
108824 | K&S | 4124 | Manual Thermosonic Ball Bonder | ASSEMBLY | 1 | inquire | ||
108825 | K&S | 4129 | Manual Deep Access Wedge Bonder | ASSEMBLY | 1 | as is all rebuilt | ||
108826 | K&S | 4526 | Manual Wedge Bonder, with Vertical Wire Feed | ASSEMBLY | 1 | inquire | ||
108827 | K&S | 6497 | Semi-Automatic Flip Chip Epoxy Die Bonder | ASSEMBLY | 1 | as is all rebuilt | 1 month | |
108828 | K&S | 8020 | Automatic Ball Bonder | ASSEMBLY | 1 | inquire | ||
108829 | K&S | 8028 | Automatic Ball Bonder | ASSEMBLY | 1 | inquire | ||
108830 | K&S | 8060 | Automatic Wedge Bonder | ASSEMBLY | 1 | inquire | ||
108832 | K&S | 4524AD | Manual Thermosonic Ball Bonder | ASSEMBLY | 1 | inquire | ||
108755 | K&S | 9388 Laser Pro | Automatic Ball Attach System | 1 | as is where is | |||
108756 | K&S | 1471 | Automatic wedge bonder | Assembly | 2 | as is where is | immediately | |
108757 | K&S | 1488 Plus | Automatic Gold Ball Bonder | Assembly | 01.05.1997 | 1 | as is where is | immediately |
110606 | Karl Suss | MA 25 | Mask Aligner | 125 mm | 01.06.2000 | 1 | as is where is | immediately |
110609 | Karl Suss | MA200 | Mask Aligner with CIC1000 lamp housing | 200 mm | 1 | as is where is | immediately | |
110611 | KARL SUSS | MJB-3 | Mask Aligner | 1 | as is where is | immediately | ||
110612 | KARL SUSS | MA56 | Mask Aligner | 1 | as is where is | immediately | ||
110619 | KARL SUSS | PA200HS | Prober | 200 mm | 1 | as is where is | ||
109597 | Karl Suss | MA150e | Mask Aligner with TSA | 150 mm | 01.06.2007 | 1 | inquire | |
108833 | KARL SUSS | MA-4 | Mask Aligner, IR Backside Alignment with Single Microscope, for up to 4" Wafers | 1 | inquire | |||
108834 | KARL SUSS | MA-45 | Mask Aligner, Front Side Alignment with Splitfield Microscope, for up to 4" Wafers | 1 | inquire | |||
109602 | Karl Suss | MJB3 | 350W Mask Aligner | 75mm | 1 | inquire | ||
108835 | KARL SUSS | PM-8 | Analytical Wafer Prober | 1 | inquire | |||
109603 | Karl Suss | MA200 | Compact Mask Aligner with TSA | 200 mm | 01.06.2010 | 1 | inquire | |
109607 | Karl Suss | MA200 | Compact Mask Aligner with TSA | 200 mm | 01.06.2010 | 1 | inquire | |
108073 | Karl Suss | MA150 | Mask Aligner | 125 mm | 1 | as is where is | ||
108074 | Karl Suss | Mask | 9inch Mask for 8inch Aligner | spares | 1 | as is where is | ||
109612 | Karl Suss | MA8/BA8 Gen 3 | TSA/BSA Mask Aligner | 200 mm | 01.06.2010 | 1 | as is all rebuilt | |
109615 | Karl Suss | MJB4 | 350W Mask Aligner | 100 mm | 1 | inquire | ||
99394 | KARL SUSS | MA 150 | Mask aligner (For spares use) | 150 mm | 1 | as is where is | immediately | |
100939 | Karl Suss | MA 25 | Mask Holder | spares | 2 | as is where is | immediately | |
108906 | Karl SUSS | MA200 | Mask Aligner | 150 mm, 200 mm | 01.05.1995 | 1 | as is where is | |
110699 | Karl Suss | MA 150 | Mask Aligner | 150 mm | 1 | as is where is | immediately | |
108908 | Karl Suss | MA200 | Mask Aligner | 200 mm | 01.05.2000 | 1 | as is where is | |
108909 | Karl SUSS | MA200 | Mask Aligner | 150 mm-200 MM | 01.05.1992 | 1 | as is where is | immediately |
108939 | Karl Suss | MA 56 | Mask Aligner | 1 | inquire | 1 month | ||
110742 | Karl Suss | Gamma | Photoresist Spray Coater and Developer | 200 mm | 01.06.2016 | 6 | as is where is | |
110748 | Karl Suss | ACS | Photoresist Spray Coater and Developer | 200 mm | 01.06.2021 | 1 | as is where is | |
108758 | KARL SUSS | MA6 | Mask Aligner | 50-150 mm | 1 | as is all rebuilt | 1 month | |
106897 | Karl Suss Micro Tec | MA200 | Mask Aligner with CIC1000 lamp housing -suitable for spare use | 200 mm | 1 | as is where is | immediately | |
106915 | Karl Suss Micro Tec | PA-200 | Wafer Prober Station | 01.06.2001 | 1 | as is where is | immediately | |
106916 | Karl Suss Micro Tec | PA200 | Wafer Prober Station | 01.06.2006 | 1 | as is where is | immediately | |
106798 | Kawasaki | 3NS411B-F006 | Robot with cable and Controller | Spares | 01.06.2008 | 1 | as is where is | immediately |
98468 | Kawasaki | 3NX540B-A302 | atmospheric wafer robot( AMAT producer) | 300 mm | 1 | as is where is | immediately | |
98469 | Kawasaki | NS410B-A002 | atmospheric wafer robot( AMAT producer) | 300mm | 1 | as is where is | immediately | |
106818 | Kensington | WFH4C | wafer robot with aligner | 150/200mm | 1 | as is where is | immediately | |
106819 | Kensington | WFH4D | wafer robot with aligner | 150/200mm | 1 | as is where is | immediately | |
109189 | Keysight / Agilent / Hewlett-Packard (HP) | 4073A | Parametric Tester | TEST | 1 | as is where is | ||
109190 | Keysight / Agilent / Hewlett-Packard (HP) | 4073B | Parametric Tester | TEST | 1 | as is where is | ||
108836 | KINETIC SYSTEMS | Vibraplane 1202-22-12S | Vibration Isolation Table, 47" x 36" | SOLAR | 1 | inquire | ||
108759 | KINETIC SYSTEMS | Vibraplane 1201-01-11 | Vibration Isolation Table 30"x35"x29"(h) | 1 | as is where is | |||
103386 | KNIEL System | CPD 5.12/6.3 | Power Supply, 321-019-02.00 | Spares | 30.04.2001 | 2 | as is where is | immediately |
108570 | Kokusai | DJ-1206VN | Vertical Furnace | 300 mm | 01.05.2007 | 1 | as is where is | immediately |
90149 | Kokusai | DD-1223 V-DF | Vertical Furnace, Wet Oxidation | 300 mm | 01.06.2013 | 1 | as is where is | immediately |
108837 | KOKUSAI | VR70 | Resistivity Test Tool | 1 | inquire | |||
109108 | Kokusai | DJ-1236VN-DF | Vertical Low-Pressure CVD System | 300 mm | 01.01.2016 | 1 | as is where is | immediately |
110667 | Kokusai | DD-1206VN-DF | Vertical Furnace, PYRO Process | 300 mm | 01.06.2005 | 1 | inquire | immediately |
103534 | Kokusai | DD1223VN | Pyro | 300 mm | 1 | as is where is | ||
103535 | Kokusai | DJ1223VN | ALD | 300 mm | 1 | as is where is | ||
103536 | KOKUSAI | ZESTON-lll DD-1223V | Dielectric CVD | 300 mm | 01.05.2011 | 1 | as is where is | |
110706 | Kokusai | DD-1206VN-DF | Vertical Furnace, PYRO Process | 300 mm | 01.09.2005 | 1 | inquire | immediately |
91254 | KOKUSAI | QUIXACE2 | ALD TiN | 300 mm | 1 | as is where is | ||
109199 | Kokusai | Quixace II ALD Nitride | Vertical Furnace | 300mm | 1 | as is where is | ||
109200 | Kokusai | Quixace II ALD Nitride | Vertical Furnace | 300mm | 1 | as is where is | ||
108433 | Kokusai | Quixace II ALD Nitride | Vertical Furnace | 300 mm | 3 | as is where is | ||
109201 | Kokusai | Quixace II Anneal | Vertical Furnace | 300mm | 1 | as is where is | ||
108434 | Kokusai | Quixace II ALD Oxide | Vertical Furnace | 300 mm | 1 | as is where is | ||
109202 | Kokusai | Quixace II Anneal | Vertical Furnace | 300mm | 1 | as is where is | ||
109203 | Kokusai | Quixace II Nitride | Vertical Furnace | 300mm | 1 | as is where is | ||
109204 | Kokusai | Quixace II Poly | Vertical Furnace | 300mm | 1 | as is where is | ||
109205 | Kokusai | Quixace Ultimate ALD SiO2 | Vertical Furnace | 300mm | 1 | as is where is | ||
108438 | Kokusai | Quixace II Poly | Vertical Furnace | 300 mm | 8 | as is where is | ||
108441 | Kokusai | Quixace Nitride | Vertical Furnace | 300 mm | 1 | as is where is | ||
106673 | KOKUSAI | DJ1206VN | CVD FURNACE SiN | 300 mm | 01.06.2010 | 1 | as is where is | |
106682 | KOKUSAI | DJ1206VN | CVD FURNACE SiN | 300 mm | 01.06.2007 | 1 | as is where is | |
106683 | KOKUSAI | QUIXACE2 | Vertical furnace, ALD TiN | 300 mm | 1 | as is where is | ||
106684 | KOKUSAI | QUIXACE2 | Vertical furnace, ALD TiN | 300 mm | 1 | as is where is | ||
106685 | KOKUSAI | QUIXACE2 | Vertical furnace, ALD TiN | 300 mm | 1 | as is where is | ||
106686 | KOKUSAI | QUIXACE2 | Vertical furnace, ALD TiN | 300 mm | 1 | as is where is | ||
106687 | KOKUSAI | QUIXACE2 | Vertical furnace, ALD TiN | 300 mm | 1 | as is where is | ||
106688 | KOKUSAI | QUIXACE2 | Vertical Furnace, Nitride deposition | 300 mm | 1 | as is where is | ||
83931 | KOKUSAI | M 152 WRL | THERMO COUPLE | 11 | as is where is | immediately | ||
109026 | Kokusai | DJ-853V-8BL J3 | VERTICAL CVD FURNACE, NITRIDE PROCESS | 150 mm | 01.06.2000 | 1 | as is all rebuilt | immediately |
108006 | Kokusai | DD-823V | VERTICAL CVD FURNACE, H2 ANNEAL PROCESS | 200 mm | 2 | as is where is | immediately | |
108007 | Kokusai | DJ-853V-8BL J2 | VERTICAL CVD FURNACE, HTO PROCESS | 200 mm | 01.09.2000 | 1 | as is where is | immediately |
108920 | Komatsu | G20K4-1 | 248 nm (KrF) excimer laser | LASER | 01.05.2001 | 2 | as is where is | immediately |
84228 | Kurt J Lesker | ISO160AVCRT | Pump centering ring | Spares | 2 | as is where is | immediately | |
84229 | Kurt J Lesker | ISO100AVCRT | Pump centering ring | Spares | 2 | as is where is | immediately | |
84230 | Kurt J Lesker | ISO Flange ISO63, 5-hole, *NEW* | 5 hole pump flange | Spares | 2 | as is where is | immediately | |
84282 | Kurt J Lesker | QF-SSC-ALM | Single claw clamp | Spares | 8 | as is where is | immediately | |
84212 | Kurt J Lesker | QF160-SAVR | Pump centering ring | Spares | 2 | as is where is | immediately | |
110325 | Kurt J. Lesker | AT3 | Match | SPARES | 1 | inquire | ||
109436 | KYOSAN | 15Z-S1 | RF GENERATOR | Spares | 1 | as is where is | ||
109437 | KYOSAN | 15ZI-M | RF GENERATOR | Spares | 2 | as is where is | ||
109438 | KYOSAN | HPK06ZI-TE7-SINGLE | RF GENERATOR | Spares | 17 | as is where is | ||
109439 | KYOSAN | HPK15ZD | RF GENERATOR | Spares | 1 | as is where is | ||
109440 | KYOSAN | JFK85TH-TC6 | RF GENERATOR | Spares | 20 | as is where is | ||
108446 | LAM / SEZ | SP304 | Single Wafer Processing | 300 mm | 1 | as is where is | ||
110750 | LAM / SEZ | SP203 | Single wafer processing | 01.01.2011 | 1 | as is all rebuilt | 1 month | |
108447 | LAM / SEZ | SP4300 | Single Wafer Processing | 1 | as is where is | |||
109234 | LAM / SEZ | SP203 | Single Wafer Processing | 200mm | 1 | as is where is | ||
106240 | Lam Research | 853-011168-002 Rev C | Surge Protector, Gap Drive Motor Assy | Spares | 01.07.1996 | 1 | as is where is | immediately |
108038 | LAM RESEARCH | Spare Parts | Various Spare Parts for sale | Spares | 1 | as is where is | immediately | |
111370 | LAM Research | 2300 Exelan Flex 45 | Etcher Asher | 12" / 300mm ICP | 1 | as is where is | immediately | |
111371 | LAM Research | 2300 Strip 45 | 1 | as is where is | immediately | |||
108572 | LAM RESEARCH | INOVA | PVD | 300mm | 01.06.2004 | 1 | as is where is | immediately |
108573 | LAM RESEARCH | INOVA | PVD | 300mm | 01.06.2010 | 1 | as is where is | immediately |
108574 | LAM RESEARCH | STRATA-3 | CVD | 300mm | 01.06.2021 | 1 | as is where is | immediately |
108577 | LAM RESEARCH | Vector Express | CVD | 300 mm | 01.06.2003 | 1 | as is where is | immediately |
100919 | LAM Research | ALTUS | CVD System | 300 mm | 31.05.2001 | 1 | as is where is | |
100920 | LAM Research | ALTUS | CVD System | 300 mm | 31.05.2010 | 1 | as is where is | |
100921 | LAM Research | ALTUS | CVD System | 300 mm | 31.05.2003 | 1 | as is where is | |
103538 | LAM Research | INOVA Concept 3 | NExT | 300 mm | 31.05.2005 | 1 | as is where is | |
105861 | Lam Research | 853-495477-001 Rev B | Cable | Spares | 30.11.2000 | 4 | as is where is | immediately |
105862 | Lam Research | 853-017410-003 REV A | Assy. cable.LWR match,Pk det. | Spares | 30.11.2000 | 5 | as is where is | immediately |
108167 | LAM Research | 2300 MWAVE STRPR | Chamber only | 300 mm | 01.06.2012 | 1 | as is where is | |
108168 | LAM Research | FLEX_GX_E6 | Oxide ETCH | 300 mm | 01.06.2008 | 1 | as is where is | |
110728 | LAM Research | 2300 | Dry etch cluster tool Mainframe without chambers | 200 MM | 01.06.2008 | 1 | as is where is | immediately |
109206 | LAM Research | 2300 Coronus | Wafer Edge Cleaning - Plasma | 300mm | 1 | as is where is | ||
110998 | Lam Research | 857-073710-002 | KIT,SHIM,CLAMP - FLEX45/DS/DX | Spares | 5 | inquire | ||
109207 | LAM Research | 2300 Exelan Flex FX - Chamber Only | Dielectric Etch | 300mm | 1 | as is where is | ||
110999 | Lam Research | 857-027108-001 | KIT,CHOKE RING - FLEX45/DS/DX | Spares | 5 | inquire | ||
109208 | LAM Research | 2300 Versys Metal | Metal Etch | 300mm | 1 | as is where is | ||
111000 | Lam Research | 857-027108-100 | KIT,CHOKE RING,TCP TO TP FLD SERIES - FLEX ES/EX+ | Spares | 5 | inquire | ||
84377 | Lam Research | 810-06526-000 | ASSY.CBL.EF CONTROL.SNIPER | Spares | 31.05.2001 | 1 | as is where is | immediately |
109209 | LAM Research | 2300e4 Exelan Flex DX | Dielectric Etch | 300mm | 1 | as is where is | ||
111001 | Lam Research | 857-120208-010 | KIT GSKT THRM TP TO CHOKE - FLEX FX | Spares | 5 | inquire | ||
109210 | LAM Research | 2300e4 Exelan Flex ES | Dielectric Etch | 300mm | 1 | as is where is | ||
111002 | Lam Research | 857-120209-009 | KIT GSKT THRM CHOKE TO HTR - FLEX FX | Spares | 5 | inquire | ||
111003 | Lam Research | 857-120209-305 | KIT GSKT THRM CHOKE - FLEX HX | Spares | 5 | inquire | immediately | |
111004 | Lam Research | 857-120210-008 | KIT GSKT THRM HTR TO BP - FLEX FX | Spares | 5 | inquire | immediately | |
111005 | Lam Research | 857-120210-302 | KIT GSKT THRM CHOKE - FLEX HX | Spares | 5 | inquire | immediately | |
111006 | Lam Research | 713-066269-002 | FIT RING GAST GUARD ABE - ABE | Spares | 5 | inquire | immediately | |
111007 | Lam Research | 713-069935-003 | GSKT GUARD, OUTER ELECTD(TCP) - FLEX ES/EX+ | Spares | 5 | inquire | immediately | |
108448 | LAM Research | 2300 Coronus | Wafer Edge Cleaning - Plasma | 300 mm | 1 | as is where is | ||
108704 | Lam Research | 490 Autoetch | Polysilicon Dry Etcher | 150 mm | 01.06.1990 | 3 | inquire | immediately |
111008 | Lam Research | 713-072792-003 | GSKT, GUARD, OUTER ELECTD - FLEX45/DS/DX | Spares | 5 | inquire | immediately | |
108449 | LAM Research | 2300 Exelan Flex | Dielectric Etch | 300 mm | 6 | as is where is | ||
111009 | Lam Research | 713-073439-010 | RING, HER, FLEX45 - FLEX45 | Spares | 5 | inquire | immediately | |
111010 | Lam Research | 410-01754-026-RW | KIT, THERMAL SHIM, CIP1 - CIP1 | Spares | 5 | inquire | immediately | |
111011 | Lam Research | 714-072727-048 | GASKET(Q310-575924), FLEX/DS - FLEX45/DD/DS/DX | Spares | 5 | inquire | immediately | |
111012 | Lam Research | 857-065064-004 | KIT, GASKET, ABE - ABE | Spares | 5 | inquire | immediately | |
111013 | Lam Research | 857-072806-005 | KIT, GASKET, ELCTD - FLEX45/DS/DX | Spares | 5 | inquire | immediately | |
111014 | Lam Research | 857-073299-001 | KIT, GASKET, TOP ELCTD - FLEX45/DS/DX | Spares | 5 | inquire | 6 months | |
111015 | Lam Research | 857-073299-002 | TCP Q-PAD KIT - FLEX ES/EX+ | Spares | 5 | inquire | immediately | |
102568 | LAM Research | TORUS 300K | Dry Etch | 2 | inquire | |||
111016 | Lam Research | 857-085550-026 | ELECTRODE GASKET KIT FOR FLEX EX+ | Spares | 5 | inquire | immediately | |
102569 | LAM Research | TORUS 300S | Dry Etch | 2 | inquire | |||
108457 | LAM Research | 2300e4 Exelan Flex GXE | Dielectric Etch | 300 mm | 1 | as is where is | ||
111017 | Lam Research | 857-085550-046 | KIT GSKT ELECTD - FLEX GX/GXE | Spares | 5 | inquire | immediately | |
111018 | Lam Research | 857-085550-822 | KIT GSKT ELECTD - FLEX FX | Spares | 5 | inquire | immediately | |
111019 | Lam Research | 719-078253-060 | RING GASKET, GEL, CER 509 - FLEX FX | Spares | 5 | inquire | immediately | |
111020 | Lam Research | 719-078253-103 | PKG, GASKET, GEL, CER, WIDE - FLEX FXP | Spares | 5 | inquire | immediately | |
111021 | Lam Research | 713-072728-130 | RING, HTSK, ESC/CPLNG, 300MM, SP - FLEX EX | Spares | 5 | inquire | immediately | |
111022 | Lam Research | 714-072728-117 | HEAT SINK R - FLEX EX+ | Spares | 5 | inquire | immediately | |
111023 | Lam Research | 839-086924-050/839-086924-021 | INNER STUD SOCKETS - Flex DX/FX | Spares | 5 | inquire | immediately | |
111024 | Lam Research | 839-086924-051/839-086924-025 | OUTER STUD SOCKETS - Flex DX/FX | Spares | 5 | inquire | ||
111025 | Lam Research | 839-086924-052 | C-Shroud ring STUD SOCKETS - Flex DX/FX | Spares | 5 | inquire | immediately | |
111026 | Lam Research | 716-069688-005 | ELCTD,INR,SI,300MM - 2300 Flex | Spares | 5 | inquire | ||
111027 | Lam Research | 716-082039-001 | ELCTD,OUTER, .18 STEP, 300MM - 2300 Flex | Spares | 5 | inquire | ||
111028 | Lam Research | 716-023013-037 | RING,HE, 38X ESC,RND, SGL XTL, 300MM - 2300 Flex | Spares | 5 | inquire | ||
111029 | Lam Research | 716-017112-533 | RING,WAP,17.07X1.4THK - 2300 Flex | Spares | 5 | inquire | ||
111030 | Lam Research | 716-014843-303 | RING,WAP,QTZ,GENERIC,17.07ID,300MM - 2300 Flex | Spares | 5 | inquire | ||
111031 | Lam Research | 716-013402-008 | COV,H/E RING,QTZ - 2300 Flex | Spares | 5 | inquire | ||
91320 | LAM Research | EXELAN 2300 | OXIDE ETCH | 300 mm | 1 | as is where is | ||
111032 | Lam Research | 716-018468-092 | RING,COVER,OUTER,GND - 2300 Flex | Spares | 5 | inquire | ||
111033 | Lam Research | 716-014843-360 | RING,WAP,QTZ,GENERIC,17.07ID,300 - 2300 Flex | Spares | 5 | inquire | ||
111034 | Lam Research | 716-013402-063 | COV,H/E RING,QTZ - 2300 Flex | Spares | 5 | inquire | ||
111035 | Lam Research | 716-801667-003 | WIN, QTZ - 2300 Flex | Spares | 5 | inquire | ||
111036 | Lam Research | 716-801568-002 | WIN, QTZ - 2300 Flex | Spares | 5 | inquire | ||
111037 | Lam Research | 716-012640-011 | WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Star-T | Spares | 5 | inquire | ||
111038 | Lam Research | 716-012639-024 | NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Star-T | Spares | 5 | inquire | ||
111039 | Lam Research | 716-026652-902 | RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T | Spares | 5 | inquire | ||
111040 | Lam Research | 716-026652-922 | RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T | Spares | 5 | inquire | ||
111041 | Lam Research | 716-031257-531 | RING,EDGE,TOP,QTZ,EBP,300MM - 2300 Star-T | Spares | 5 | inquire | ||
111042 | Lam Research | 716-031257-561 | RING,EDGE,TOP,QTZ,EBP,300MM - 2300 Star-T | Spares | 5 | inquire | ||
111043 | Lam Research | 716-008608-001 | WDO,SAPPHIRE,25MM X.087 - 2300 Star-T | Spares | 5 | inquire | ||
111044 | Lam Research | 716-801568-002 | WIN, QTZ - 2300 Star-T | Spares | 5 | inquire | ||
111045 | Lam Research | 716-012640-012 | WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Kiyo | Spares | 5 | inquire | ||
111046 | Lam Research | 716-012639-024 | NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Kiyo | Spares | 5 | inquire | immediately | |
111047 | Lam Research | 716-026652-002 | RING,EDGE,BOT,200-300MM - 2300 Kiyo | Spares | 5 | inquire | ||
111048 | Lam Research | 716-026652-011 | RING,EDGE,BOT,200-300MM - 2300 Kiyo | Spares | 5 | inquire | ||
111049 | Lam Research | 716-031257-534 | RING,EDGE, TOP, EBP,2300 - 2300 Kiyo | Spares | 5 | inquire | ||
111050 | Lam Research | 716-031257-564 | RING,EDGE, TOP, EBP,300MM - 2300 Kiyo | Spares | 5 | inquire | ||
111051 | Lam Research | 716-008608-001 | WDO,SAPPHIRE,25MM X.087 - 2300 Kiyo | Spares | 5 | inquire | ||
111052 | Lam Research | 716-801568-002 | WIN, QTZ - 2300 Kiyo | Spares | 5 | inquire | ||
111053 | Lam Research | 716-801568-002 | WIN, QTZ - 2300 Versys Metal | Spares | 5 | inquire | ||
18898 | LAM RESEARCH | 406-240121-008 | TCP 9600SE Drawings and schematics Vol 3 | 1 | as is where is | |||
18899 | LAM RESEARCH | 406-240121-005 | TCP 9600SE Maintenance procedure | 1 | as is where is | |||
18900 | LAM RESEARCH | 406-240122-007 | TCP 9600SE Drawing and schematics Vol 2 | 1 | as is where is | |||
18901 | LAM RESEARCH | 406-240122-006 | TCP 9600SE Drawing and schematics Vol 1 | 1 | as is where is | |||
18902 | LAM RESEARCH | 406-240121-006 | TCP 9600SE Drawing and schematics Vol 1 | 1 | as is where is | |||
18903 | LAM RESEARCH | 406-240121-007 | TCP 9600SE Drawing and schematics Vol 2 | 1 | as is where is | |||
18904 | LAM RESEARCH | 406-240121-008 | TCP 9600SE Manual set addendum | 1 | as is where is | |||
18905 | LAM RESEARCH | 409-240164-001 | TCP rainbow e oxide 9500 | 1 | as is where is | |||
18906 | LAM RESEARCH | 406-240040-002 | TCP Rainbow 4500 system descriptions | 1 | as is where is | |||
18907 | LAM RESEARCH | 406-240203-074 | TPC 9400/9600 PTX alliance installation guide | 1 | as is where is | |||
18908 | LAM RESEARCH | 406-240101-001 | TCP 9400 SE installations and start up | 1 | as is where is | |||
18909 | LAM RESEARCH | 407-240100-001 | TCP 9400 illustrated part identifier | 1 | as is where is | |||
18910 | LAM RESEARCH | 406-240121-003 | TCP 9600 SE system operation | 1 | as is where is | |||
18911 | LAM RESEARCH | 406-240121-002 | TCP 9600 SE system description | 1 | as is where is | |||
18912 | LAM RESEARCH | 406-240101-005 | TCP 9400 SE maintenance classic | 1 | as is where is | |||
18913 | LAM RESEARCH | 406-240203-074 | TCP 9400/9600 PTX alliance installation guide | 1 | as is where is | immediately | ||
18914 | LAM RESEARCH | 409-240163-001 | Rainbow TCP Envision LAM RESEARCH SECS and SECS/GEM interface | 1 | as is where is | |||
18915 | LAM RESEARCH | 406-240100-005 | TCP 9400 maintenance procedure | 1 | as is where is | |||
18916 | LAM RESEARCH | 406-240101-008 | Drawings and schematics Vol3 | 1 | as is where is | |||
18917 | LAM RESEARCH | 406-240101-006 | Drawings and schematics Vol3 | 2 | as is where is | |||
98277 | LAM Research | 2300 MWAVE STRPR | Asher/Stripper | 300 mm | 31.05.2012 | 1 | as is where is | |
18918 | LAM RESEARCH | 406-240101-005 | Drawings and schematics Vol1 | 1 | as is where is | |||
18919 | LAM RESEARCH | 406-240101-007 | Drawings and schematics Vol2 | 2 | as is where is | |||
98279 | LAM Research | FLEX GX E6 | OXIDE ETCH | 300 mm | 31.05.2008 | 1 | as is where is | |
18920 | LAM RESEARCH | 406-240122-009 | Drawings and schematics Vol4 | 1 | as is where is | |||
98280 | LAM Research | FLEX GX E6 | OXIDE ETCH | 300 mm | 31.05.2008 | 1 | as is where is | |
111340 | LAM RESEARCH | CONCEPT 3 (Parts) | Preclean module | 300 mm | 01.06.2010 | 2 | as is where is | immediately |
18927 | LAM RESEARCH | 406-240122-008 | Drawing and schematics Vol3 | 1 | as is where is | |||
18928 | LAM RESEARCH | 406-240122-010 | Drawing and schematics Vol5 | 1 | as is where is | |||
111364 | LAM RESEARCH /ONTRACK | DSS 200 | Scrubber | Series 1 | 1 | as is where is | immediately | |
106820 | Lam Research Ontrak | Synergy | Post CMP cleaner with HEPA mini-environment | 200 mm | 1 | as is where is | immediately | |
106866 | Lam Research ® | Jetstream MZI | Gas Box, new and unused, p/n 571-065780-91534F | SPARES | 1 | as is where is | immediately | |
15066 | LAMBDA PHYSIK | Novaline K2005 | 248 nm excimer laser for ASML /300 | facilities | 01.06.2002 | 1 | as is where is | immediately |
94475 | LASERTEC | BGM300 | Wafer Surface Analyzing and VIsualization System | 200 mm | 31.05.2008 | 1 | as is where is | |
106702 | LASERTEC | BGM300 | Wafer Inspection System | 300 mm | 1 | as is where is | ||
108838 | LEYBOLD-HERAEUS | NT-450 | Turbo Pump Controller | PUMP | 1 | inquire | ||
108839 | LEYBOLD-HERAEUS | TMP-450C | Turbo Pump, 2ea Available | PUMP | 1 | inquire | ||
108840 | LEYBOLD-HERAEUS | Turbotronik NT 150/360 | Turbomolecular Vacuum Pump Controller | PUMP | 1 | inquire | ||
108841 | LEYBOLD-HERAEUS | Turbovac 150 | Turbo Pump, 2ea Available | PUMP | 1 | inquire | ||
108842 | LEYBOLD-HERAEUS | Turbovac 150CSV | Turbo Pump, 2ea Available | PUMP | 1 | inquire | ||
108843 | LOOMIS | LCD 2P | Wafer Scriber with Breaker Option | 1 | inquire | |||
98730 | LOTUS | Spray Cleaner | WET Clean for parts | Facilities | 01.10.2007 | 1 | as is where is | immediately |
94476 | LUMONICS | SUPERCLEAN | Laser Marking System | 200 mm | 1 | as is where is | ||
84026 | Maple Systems | HMI530TV45 | Touch Screen | spares | 01.01.2006 | 1 | as is where is | immediately |
84072 | Maple Systems | HMI530TV45 | Touch Screen | spares | 01.01.2006 | 1 | as is where is | immediately |
83885 | MATHESON | TRI.GAS | ROTAMETER | 3 | as is where is | immediately | ||
83882 | MATSUSHITA | BBC 35 N | INTERRUPTOR CAP SYS | 01.05.2010 | 1 | as is where is | immediately | |
83637 | MATSUSHITA | HP2-DC 24V | RELAY HP | 20 | as is where is | immediately | ||
108578 | MATTSON | PARADIGM_SI | DRY ETCH | 300mm | 01.06.2012 | 1 | as is where is | immediately |
108579 | MATTSON | PARADIGM_SI | Poly-silicon etcher | 300 mm | 01.05.2011 | 1 | as is where is | immediately |
108910 | Mattson | AST3000 | RTP | 200 mm | 01.09.2002 | 1 | as is where is | immediately |
103539 | MATTSON | HELIOS | RTP | 300 mm | 31.10.2003 | 1 | as is where is | immediately |
103540 | MATTSON | HELIOS | RTP | 300 mm | 30.04.2005 | 1 | as is where is | immediately |
109447 | MATTSON | RFS3019 | RF GENERATOR | Spares | 1 | as is where is | ||
108184 | MATTSON | MILLIOS | Rapid Thermal Anneal | 300 mm | 01.06.2011 | 1 | as is where is | |
18845 | MATTSON | 17500675-00 | Aspen OEM manual volume I | 2 | as is where is | |||
18846 | MATTSON | 17500675-00 | Aspen OEM manual volume II | 3 | as is where is | |||
18847 | MATTSON | 17500675-00 | Aspen OEM manual volume III | 3 | as is where is | |||
18848 | MATTSON | 299-31000-00 | Aspen strip system manual | 6 | as is where is | |||
98281 | MATTSON | HELIOS | RTP | 300 mm | 31.01.2006 | 1 | as is where is | immediately |
98282 | MATTSON | HELIOS | RTP | 300 mm | 31.10.2006 | 1 | as is where is | immediately |
98283 | MATTSON | PARADIGME SI | Dry Strip | 300 mm | 31.05.2011 | 1 | as is where is | |
98284 | MATTSON | PARADIGME SI | Polysilicon Etch | 300 mm | 1 | as is where is | ||
109552 | Mattson | Aspen 3 ICP | Dual Chamber Light Etcher | 300 mm | 01.07.2008 | 1 | as is where is | immediately |
91641 | MATTSON | HELIOS | RTP | 300 mm | 30.04.2005 | 1 | as is where is | immediately |
4007 | MDC (Materials Development Corp.) | DUO CHUCK CSM16 | CV Measurement system | 150 mm | 31.05.1995 | 1 | inquire | immediately |
106821 | MECS | UTC 820Z | atmospheric wafer handling robot( Hitachi CD-SEM) | 200 mm | 1 | as is where is | immediately | |
106822 | MECS | UTW-FS5500S | Atmospheric wafer handling robot | NA | 1 | as is where is | immediately | |
98474 | MECS | OF 250 | wafer pre-aligner(Hitachi CDSEM 8820/8840) | 200mm | 3 | as is where is | immediately | |
98476 | MECS | UTC 801P | atmospheric wafer handling robot( WJ-1000) | 200mm | 2 | as is where is | immediately | |
98477 | MECS | UTX 1100 | atmospheric wafer handling robot(ASM eagle-10) | 200 mm | 2 | as is where is | immediately | |
98478 | MECS | UTX 1200 | Atmospheric wafer handling robot(ASM eagle-10) | 200 mm | 1 | as is where is | immediately | |
80244 | MELLES GRIOT | 05-LHP-121 | HE NE Laser (Unused) | Spares | 01.12.1996 | 1 | as is where is | immediately |
110789 | Mentor Graphics | Veloce 2 Quattro | Hardware Emulation System | 1 | as is where is | immediately | ||
108847 | MICRONICS JAPAN CO. | MP-10 | Manual Probe Station with B&L StereoZoom 7 Microscope & 2ea Micropositioners | 1 | inquire | |||
84059 | MICROSPEED | PD-250C | PC-TRAC mouse | FUUTB02 | 1 | as is where is | immediately | |
79592 | Minato Electronics | 1940 | EPROM Programmer with additional memory | Electronics Test and Measurement | 31.05.2007 | 1 | as is where is | immediately |
79593 | Minato Electronics | 1940 | EPROM Programmer | Electronics Test and Measurement | 31.05.2005 | 1 | as is where is | immediately |
110337 | MISC | BPS MATCH | Match | SPARES | 1 | inquire | ||
110338 | MISC | DC BIAS CONTROL | Match | SPARES | 1 | inquire | ||
110339 | MISC | DC BIAS CONTROL | Match | SPARES | 1 | inquire | ||
110340 | MISC | DC BIAS CONTROL | Match | SPARES | 1 | inquire | ||
83523 | MITSUBISHI | MR-J10A1 | AC SERVO | POWER 100 W | 1 | |||
83554 | MITSUBISHI | FR-Z120-0.4K | PLC, INVERTER 200 V CLASS | FREQROL-Z120 | 1 | as is where is | immediately | |
83638 | MITSUBISHI | Melservo MR-C10A1-UE | AC SERVO AMPLIFIER | 100-120 V | 31.03.2005 | 1 | as is where is | immediately |
110341 | MKS | DCG 100E OPTIMA | Generator | SPARES | 1 | inquire | ||
110342 | MKS | MW-5060 | Match | SPARES | 1 | inquire | ||
110343 | MKS | MW-5060 | Match | SPARES | 1 | inquire | ||
110344 | MKS | MW-5060 | Match | SPARES | 1 | inquire | ||
110345 | MKS | MW-5060 | Match | SPARES | 1 | inquire | ||
110346 | MKS | MWJ-1013 | Match | SPARES | 1 | inquire | ||
111378 | MKS | FI20620 Astex | Power supply | 1 | as is where is | immediately | ||
111405 | MKS | AX7685-20-C Astek Astron E | Remote Plasma Cleaner | 1 | as is where is | immediately | ||
108849 | MKS | NW100 | Two Stage Heated Vacuum Valve, HPS DIV Jalapeno 45 Series Heater Cover | SPARES | 1 | inquire | ||
87366 | MKS | 653B-13064 | Type 653 Throttle Control Valve DN100 (4 INCH ID FLANGE) | Spares | 1 | as is where is | immediately | |
77940 | MKS | 627BX01MCC1B | Baratron 1mbar (CAPACITANCE MANOMETER) | Spares | 1 | as is where is | immediately | |
109448 | MKS | ASTRON i | Remote Plasma Cleaner | Spares | 2 | as is where is | ||
109449 | MKS | ASTRONex | Remote Plasma Cleaner | Spares | 1 | as is where is | ||
109450 | MKS | AX3060-1 | Remote Plasma Cleaner | Spares | 1 | as is where is | ||
109451 | MKS | AX3063 | Remote Plasma Cleaner | Spares | 4 | as is where is | ||
109452 | MKS | AX3063ULVJ | Remote Plasma Cleaner | Spares | 1 | as is where is | ||
109453 | MKS | AX3151 | Remote Plasma Cleaner | Spares | 2 | as is where is | ||
109454 | MKS | AX7650 | Remote Plasma Cleaner | Spares | 1 | as is where is | ||
109455 | MKS | AX7657-2 | Remote Plasma Cleaner | Spares | 5 | as is where is | ||
109457 | MKS | AX9004 | Remote Plasma Cleaner | Spares | 1 | as is where is | ||
109458 | MKS | B-3013 | RF GENERATOR | Spares | 1 | as is where is | ||
109459 | MKS | B-5002 | RF GENERATOR | Spares | 1 | as is where is | ||
109460 | MKS | B-5002 | RF GENERATOR | Spares | 1 | as is where is | ||
109461 | MKS | D13449 | RF GENERATOR | Spares | 3 | as is where is | ||
109462 | MKS | DCG-200Z | RF GENERATOR | Spares | 1 | as is where is | ||
109463 | MKS | DCG-200Z | RF GENERATOR | Spares | 1 | as is where is | ||
109464 | MKS | DCG-200Z | RF GENERATOR | Spares | 2 | as is where is | ||
109465 | MKS | DCG-200Z | RF GENERATOR | Spares | 6 | as is where is | ||
109466 | MKS | DCG-200Z | RF GENERATOR | Spares | 1 | as is where is | ||
109467 | MKS | DCG-200Z | RF GENERATOR | Spares | 1 | as is where is | ||
109468 | MKS | DCG-200Z | RF GENERATOR | Spares | 2 | as is where is | ||
109469 | MKS | DCS80-13E | RF GENERATOR | Spares | 4 | as is where is | ||
109470 | MKS | FI20160-1 | RF GENERATOR | Spares | 1 | as is where is | ||
109471 | MKS | FI20162 | RF GENERATOR | Spares | 2 | as is where is | ||
109472 | MKS | FI20162 | RF GENERATOR | Spares | 1 | as is where is | ||
109473 | MKS | FI20162-1 | RF GENERATOR | Spares | 6 | as is where is | ||
109474 | MKS | FI20608 | RF GENERATOR | Spares | 1 | as is where is | ||
109475 | MKS | FI20609 | RF GENERATOR | Spares | 1 | as is where is | ||
109476 | MKS | FI20612 | RF GENERATOR | Spares | 3 | as is where is | ||
109477 | MKS | GEW-3540 | RF GENERATOR | Spares | 2 | as is where is | ||
109478 | MKS | GHW-12Z | RF GENERATOR | Spares | 2 | as is where is | ||
109479 | MKS | GHW-50Z | RF GENERATOR | Spares | 1 | as is where is | ||
109480 | MKS | GHW-85A | RF GENERATOR | Spares | 1 | as is where is | ||
109481 | MKS | GHW-85A | RF GENERATOR | Spares | 1 | as is where is | ||
109482 | MKS | GL-139 | RF GENERATOR | Spares | 1 | as is where is | ||
109483 | MKS | MWH-100-01M6 | RF GENERATOR | Spares | 1 | as is where is | ||
109484 | MKS | MWH-100-01M6 | RF GENERATOR | Spares | 1 | as is where is | ||
109485 | MKS | OEM-12 | RF GENERATOR | Spares | 2 | as is where is | ||
109486 | MKS | OEM-1250 | RF GENERATOR | Spares | 9 | as is where is | ||
109487 | MKS | OEM-25-11481 | RF GENERATOR | Spares | 1 | as is where is | ||
109488 | MKS | OEM-25G | RF GENERATOR | Spares | 1 | as is where is | ||
109489 | MKS | OEM-25N-01 | RF GENERATOR | Spares | 1 | as is where is | ||
109490 | MKS | OEM-6A-01 | RF GENERATOR | Spares | 1 | as is where is | ||
109491 | MKS | OEM-6A-11491-51 | RF GENERATOR | Spares | 1 | as is where is | ||
74164 | MKS | 154-0100P | High Vacuum Valve, NEW | spares | 31.12.2005 | 1 | as is where is | immediately |
109492 | MKS | OEM-6AM-1B | RF GENERATOR | Spares | 2 | as is where is | ||
109493 | MKS | OEM25A-21091-51 | RF GENERATOR | Spares | 3 | as is where is | ||
109494 | MKS | PC-3G | RF GENERATOR | Spares | 1 | as is where is | ||
69856 | MKS | Type 624 | Baratron pressure transducer with trip points, range 1000 Torr, CE | spares | 1 | as is where is | immediately | |
106085 | MKS ASTeX | Astron FI80131-R | Remote Plasma Source | Spares | 1 | as is where is | immediately | |
101024 | MKS Instruments | 162-0040K | Inline Pneumatic Valve ISO-KF NW 40 flanges | spares | 1 | as is where is | immediately | |
18877 | MOSAID | 1000242-01 | MS3400 test system reference manual | 1 | as is where is | |||
108767 | MOSAID | MS4155 | Memory Test System | TEST | 1 | as is where is | immediately | |
108028 | MOSAID | M4205 | Memory Tester | TEST | 1 | as is where is | immediately | |
109029 | MRSI | 505 | Die Attach/ Multichip Module | assembly | 01.01.2001 | 1 | as is where is | immediately |
84372 | MS PRG WO | A9403789 | MOUSE MODEL No.240C | 01.02.1999 | 1 | as is where is | immediately | |
108029 | MSP CORP. | 2300XP1 | Particle Depositioning | 300 mm | 1 | as is where is | ||
108290 | Multitest | MT2168 | Test handler with Lemsys tester for High Voltage and Hi Current testing | TEST | 01.06.2012 | 1 | as is where is | |
108964 | Multitest | MT2168 | test handler with hot, ambient and cryogenic cooling options | TEST | 01.06.2018 | 1 | as is where is | immediately |
108084 | Musashi | FAM2200 | Dispenser | SMT | 1 | as is where is | ||
108085 | Musashi | Short Master 200 | Dispenser | SMT | 1 | as is where is | ||
108086 | Musashi | Short Master 300 | Dispenser | SMT | 2 | as is where is | ||
106705 | NANO OPTICS | HAZE 2 | Nano Optics Haze 2 | 200 mm | 1 | as is where is | ||
108292 | Nanofocus | Microprint | 3-D package inspection system | ASSEMBLY | 01.06.2014 | 1 | as is where is | |
107027 | NANOMETRICS | Caliper Mosaic | Overlay measurement System | 300 mm | 01.08.2010 | 1 | inquire | immediately |
109113 | Nanometrics | LynX 9010T | Optical CD Measurement (Scatterometry) system | 300 mm | 01.09.2008 | 1 | as is where is | immediately |
106823 | Nanometrics | Nanospec 9100 | Oxide film thickness measurement (PC missing) | 200 mm | 1 | as is where is | immediately | |
103544 | NANOMETRICS | CALIPER ELAN | Overlay | 300 mm | 31.05.2004 | 1 | as is where is | |
91526 | NANOMETRICS | Caliper Mosaic | Overlay | 300 mm | 31.05.2003 | 1 | as is where is | immediately |
91529 | NANOMETRICS | Caliper Mosaic | Overlay | 300 mm | 28.02.2010 | 1 | as is where is | immediately |
91530 | NANOMETRICS | NANOMETRICS 9-7200-0195E | Mask & Wafer Inspection | 200 mm | 1 | as is where is | ||
108186 | NANOMETRICS | CALIPER_MOSAIC | Overlay | 300 mm | 01.06.2001 | 1 | as is where is | |
98480 | Nanometrics | 8000X | film thickness measurement | 150 mm | 2 | as is where is | immediately | |
98481 | Nanometrics | 8000Xse | film thickness measurement | 200 mm | 2 | as is where is | immediately | |
98482 | Nanometrics | Caliper Mosaic (Parts) | EFEM Module, including a Brooks Razor robot | 300 mm | 1 | as is where is | immediately | |
98483 | Nanometrics | Lynx EFEM | EFEM including a Kawasaki robot | 300 mm | 1 | as is where is | immediately | |
108467 | Nanometrics | Tevet Trajectory T3 | Film Thickness Measurement System | 300 mm | 1 | as is where is | ||
106179 | Nanometrics | 9000 | Nanospec Wafer Metrology film thickness measurement tool | 200 mm | 01.06.2005 | 1 | as is all rebuilt | immediately |
106959 | Nanometrics | 9000 (Spare Parts) | Spectroscopic Reflectometer | Spares | 01.06.2005 | 1 | as is where is | immediately |
106960 | Nanometrics | 9000 (Spare Parts) | Computer Nanometrics P/n 7200-2432 | Spares | 01.06.2005 | 1 | as is where is | immediately |
101585 | NANOMETRICS | CALIPER-ULTRA | Overlay Measurement | 300 mm | 30.06.2006 | 1 | as is where is | |
106706 | NANOMETRICS | CALIPER_ULTRA | Mask & Wafer Inspection | 300 mm | 01.06.2006 | 1 | as is where is | |
98289 | NANOMETRICS | CALIPER ULTRA | Mask & Wafer Inspection | 300 mm | 31.05.2005 | 1 | as is where is | |
98290 | NANOMETRICS | CALIPER ULTRA | Mask & Wafer Inspection | 300 mm | 31.05.2005 | 1 | as is where is | |
98291 | NANOMETRICS | CALIPER ULTRA | Mask & Wafer Inspection | 300 mm | 30.06.2006 | 1 | as is where is | immediately |
69868 | National Instruments | GPIB120A | GPIB BUS EXPANDER / ISOLATOR | TEST | 31.05.1998 | 8 | as is where is | immediately |
84369 | NC NOR-CAL PRODUCTS | 0995-16528 | CHEMRAZ O-RING INSIDE OF VALVE | 01.03.1990 | 1 | as is where is | immediately | |
107009 | NESLAB | HX+75 A/C | Process Module Chiller | Facilities | 01.06.1995 | 1 | as is where is | immediately |
108850 | NESLAB | CFT-75 | Recirculating Water Chiller | CHILLER | 1 | inquire | ||
108851 | NESLAB | RTE-221 | Refrigerated Recirculating Water Chiller with Microprocessor Controller | CHILLER | 1 | inquire | ||
94594 | Neslab | 1033-0017-001 | Chiller | CHILLER | 1 | as is where is | ||
74168 | Neslab | RTE-110 | Benchtop Laboratory chiller | Spares | 01.06.1995 | 1 | as is where is | immediately |
108769 | NESLAB | HX-750 | Air Cooled Recirculating Water Chiller, Damaged Compressor | 1 | as is where is | |||
108770 | NESLAB | HX-75 | Recirculating Water Chiller w/Digital Temp Ctlr, Water Cooled | 1 | as is where is | |||
108031 | NEXTEST | MAGNUM II EV ICP | Memory Tester | TEST | 01.11.2011 | 1 | as is where is | |
99382 | NexTest / Teradyne | MAVERICK PT II | Automated Test Equipment | TEST | 1 | as is where is | immediately | |
99383 | NexTest / Teradyne | MAVERICK PT II | Automated Test Equipment | TEST | 1 | as is where is | immediately | |
109045 | NexTest / Teradyne | Maverick-II PT | Bitmap Tester | TEST | 01.05.2003 | 1 | as is where is | immediately |
109046 | NexTest / Teradyne | Maverick-II PT | Bitmap Tester | TEST | 01.05.2004 | 1 | as is where is | immediately |
108032 | Nextest Systems Corporation | MAGNUM II ICP 1280 | Memory Tester | TEST | 01.04.2010 | 1 | as is where is | immediately |
108030 | Nextest Systems Corporation | MAGNUM ICP 1280 | Memory Tester | TEST | 01.05.2010 | 1 | as is where is | immediately |
105867 | Nisshin | 7 kgf/cm2 | pressure gauge | spares | 1 | as is where is | immediately | |
105868 | Nisshin | 4 kgf/cm2 | pressure gauge | spares | 1 | as is where is | immediately | |
105869 | Nisshin | 0-76 cmHg | vacuum gauge | spares | 1 | as is where is | immediately | |
105870 | Nisshin | 0-1 kgf/cm2 | pressure gauge | spares | 1 | as is where is | immediately | |
111104 | Nissin | EE04537 | Arc Terminal Fitting - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) | Spares | 5 | inquire | ||
111105 | Nissin | EE11140-0 | Aperture (1) 40mm*38mm - 3000 3000(W) | Spares | 5 | inquire | ||
111106 | Nissin | 17107405-A | Beam Dump Tile - 3000 3000(W) | Spares | 5 | inquire | ||
111107 | Nissin | EE05017-0 | Gas Spacer - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) | Spares | 5 | inquire | ||
108911 | Nissin | Exceed 3000AH | Medium Current Implanter | 300 mm | 01.05.2007 | 1 | as is where is | immediately |
111232 | NISSIN | SOK30-2070 | Crucible - 2300&3000 2300 (2nd) 3000 (W) | Spares | 5 | inquire | ||
111233 | NISSIN | SOK30-2015 | Gas Nozzle - 2300 2300 (Nissin) | Spares | 5 | inquire | ||
111234 | NISSIN | EE10918-0 | Aperture - 3000 3000(W) | Spares | 5 | inquire | ||
111235 | NISSIN | SOK30-2109 | I/S Suppression Cable - 2300&3000 2300 (2nd) 3000 (W) | Spares | 5 | inquire | ||
111236 | NISSIN | SOK30-2001 | Arc Chamber Plate, Upper - 2300 2300 (Nissin) | Spares | 5 | inquire | ||
93833 | Nissin | Exceed 2000 | Medium Current Ion Implanter | 3, 4 and 5 inch | 01.05.1997 | 1 | as is where is | immediately |
111265 | NISSIN | SOK30-2035 | Reflector 2 - 2300 2300 (Nissin) | Spares | 5 | inquire | ||
111266 | NISSIN | SOK30-2091 | Electrode 2 - 2300 2300 (Nissin) | Spares | 5 | inquire | ||
111267 | NISSIN | SOK30-2061 | Oven Cap - 2300&3000 2300 (2nd) 3000 (W) | Spares | 5 | inquire | ||
111268 | NISSIN | SOK30-2068 | Oven Cap - 2300&3000 2300 (2nd) 3000 (W) | Spares | 5 | inquire | ||
111269 | NISSIN | SOK30-2097 | Insulator - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) | Spares | 5 | inquire | ||
111270 | NISSIN | SOK30-2096 | Electrode Spacer B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) | Spares | 5 | inquire | ||
111271 | NISSIN | SOK30-2133 | Gas Nozzle (4) - 3000 3000(Mo) | Spares | 5 | inquire | ||
111272 | NISSIN | SOK30-2141 | Spacer C - 3000 3000(MO) | Spares | 5 | inquire | ||
111273 | NISSIN | SOK30-2137 | Electrode 2 - 3000 3000(MO) | Spares | 5 | inquire | ||
111274 | NISSIN | EE-04973 | Sheath Nut - 3000 3000(MO) 3000(W) | Spares | 5 | inquire | ||
111275 | NISSIN | SOK30-2124 | Insulation Ring (1-4) - 3000 3000(MO) 3000(W) | Spares | 5 | inquire | ||
111276 | NISSIN | SOK30-2123 | Reflector (4) - 3000 3000(MO) 3000(W) | Spares | 5 | inquire | ||
111277 | NISSIN | SOK30-2125 | Insulation Ring (2-4) - 3000 3000(MO) 3000(W) | Spares | 5 | inquire | ||
111278 | NISSIN | SOKS03-2018 | Arc Chamber Side Plate A - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) | Spares | 5 | inquire | ||
111279 | NISSIN | SOKS03-2019 | Arc Chamber Side Plate B - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) | Spares | 5 | inquire | ||
111280 | NISSIN | EE04973-0 | Sheath Nut - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) | Spares | 5 | inquire | ||
111281 | NISSIN | EE05018-0 | Spacer F - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) | Spares | 5 | inquire | ||
111282 | NISSIN | EE03932-1 | Arc Chamber - All Common 3000 (W) 9600 (IHC-R2) | Spares | 5 | inquire | ||
111089 | Nissin | SOK30-2140 | Electrode 5 - 3000 3000(Mo) | Spares | 5 | inquire | ||
111090 | Nissin | SOK30-2094 | Electrode 5 - 2300&3000 (W) 2300 (Nissin) | Spares | 5 | inquire | ||
111091 | Nissin | SOK30-2093 | Electrode 4 - 2300&3000 (W) 2300 (Nissin) | Spares | 5 | inquire | ||
111092 | Nissin | SOK11-0112 | Insulation Ring 1B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) | Spares | 5 | inquire | ||
111093 | Nissin | SOK30-2139 | Electrode 4 - 3000 3000(Mo) | Spares | 5 | inquire | ||
111094 | Nissin | SOK11-0123 | Filament Feedthrough 1B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) | Spares | 5 | inquire | ||
111095 | Nissin | SOK11-0111 | Insulation Ring 1A - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) | Spares | 5 | inquire | ||
111096 | Nissin | SOK11-0122 | Filament Feedthrough 1A - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) | Spares | 5 | inquire | ||
111097 | Nissin | NIE79483-2 | Carbon Lining 2 - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) | Spares | 5 | inquire | ||
111098 | Nissin | EE05021-0 | Insulation Base (A) - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) | Spares | 5 | inquire | ||
111099 | Nissin | NIE47348-2-B | Aperture (2) : B Type - 2300&3000 2300 (2nd) 3000 (W) | Spares | 5 | inquire | ||
111100 | Nissin | SOK30-2142 | Electrode 6 - 3000 3000(Mo) | Spares | 5 | inquire | ||
111101 | Nissin | SOK40-2031 | Insulator (A) - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) | Spares | 5 | inquire | ||
111102 | Nissin | SOK30-2089 | Electrode 1-A - 2300 2300 (Nissin) | Spares | 5 | inquire | ||
111103 | Nissin | NIE48864-1-B | Beam Dump Tile - 2300 2300 (2nd) | Spares | 5 | inquire | ||
83628 | NITSUKO | BCR 2600/BCV 5050 | POWER SUPPLY | 3 | as is where is | immediately | ||
110347 | Nordson | Matchbox | Match | SPARES | 1 | inquire | ||
110348 | Nordson | Matchbox | Match | SPARES | 1 | inquire | ||
110349 | Nordson | Matchbox | Match | SPARES | 1 | inquire | ||
110350 | Nordson | Matchbox | Match | SPARES | 1 | inquire | ||
110351 | Nordson | Matchbox | Match | SPARES | 1 | inquire | ||
110352 | Nordson | Matchbox | Match | SPARES | 1 | inquire | ||
110353 | Nordson | Matchbox | Match | SPARES | 1 | inquire | ||
110354 | Nordson | Matchbox | Match | SPARES | 1 | inquire | ||
110355 | Nordson | Matchbox | Match | SPARES | 1 | inquire | ||
110356 | Nordson | Matchbox | Match | SPARES | 1 | inquire | ||
110357 | Nordson | Matchbox | Match | SPARES | 1 | inquire | ||
109495 | NORDSON | CW2 | RF GENERATOR | Spares | 1 | as is where is | ||
109496 | NORDSON | DSS CW2 V2 | RF GENERATOR | Spares | 1 | as is where is | ||
109283 | NOVA MEASURING | Novascan | Novascan CMP Endpoint measurement unit | 200 mm | 01.06.2000 | 1 | as is where is | immediately |
109284 | NOVA MEASURING | Novascan | Novascan CMP Endpoint measurement unit | 200 mm | 01.06.2000 | 1 | as is where is | immediately |
70300 | Novascan | 945-00666-00 | HALOGEN LAMP | SPARES | 2 | as is where is | immediately | |
111366 | Novellus | Concept Two Altus W-CVD | Nitride 2 Chamber | 1 | as is where is | immediately | ||
90146 | NOVELLUS | GAMMA 2100 | asher / PR STRIP | 30.11.2002 | 1 | as is where is | immediately | |
108581 | NOVELLUS | MB2 | Metal PVD System | 200 mm | 01.05.1994 | 1 | as is where is | immediately |
108582 | NOVELLUS | MB2 | Metal PVD System | 200 mm | 01.05.1994 | 1 | as is where is | immediately |
108583 | NOVELLUS | MB2 | Metal PVD System | 200 mm | 01.05.1994 | 1 | as is where is | immediately |
91218 | NOVELLUS | CONCEPT 3 GAMMA 2130 | 300 mm | 1 | as is where is | |||
91219 | NOVELLUS | CONCEPT 3 GAMMA 2130 | 300 mm | 1 | as is where is | |||
91220 | NOVELLUS | CONCEPT 3 SPEED | NEXT | 300 mm | 31.05.2004 | 1 | as is where is | |
91221 | NOVELLUS | CONCEPT 3 SPEED | NEXT | 300 mm | 31.05.2006 | 1 | as is where is | |
91223 | NOVELLUS | CONCEPT 3 SPEED | NEXT | 300 mm | 31.05.2005 | 1 | as is where is | |
103545 | NOVELLUS | CONCEPT 3 INOVA | NExT | 300 mm | 1 | as is where is | ||
103546 | NOVELLUS | VECTOR | PECVD Nitride | 300 mm | 31.05.2005 | 1 | as is where is | |
108191 | NOVELLUS | 676 | CMP System Polisher | 200 mm | 01.06.1997 | 1 | as is where is | |
109221 | Novellus | Concept Three Altus | WCVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | ||
109222 | Novellus | Concept Three Altus | WCVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | ||
109223 | Novellus | VECTOR | PECVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | ||
109224 | Novellus | VECTOR SOLA UV Cure | PECVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | ||
108472 | Novellus | Concept Three Speed | HDP CVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | ||
109497 | NOVELLUS | TOP MATCH | RF GENERATOR | Spares | 1 | as is where is | immediately | |
108475 | Novellus | SABRE 3D | ECD (Electro Chemical Deposition) | 300 mm | 1 | as is where is | ||
108477 | Novellus | VECTOR SOLA UV Cure | PECVD (Chemical Vapor Deposition) | 300 mm | 2 | as is where is | ||
106710 | NOVELLUS | VECTOR | TEOS CVD SYSTEM | 300 mm | 01.06.2003 | 1 | as is where is | |
106711 | NOVELLUS | VECTOR | TEOS CVD SYSTEM | 300 mm | 01.06.2004 | 1 | as is where is | |
106712 | NOVELLUS | VECTOR | TEOS CVD SYSTEM | 300 mm | 01.06.2005 | 1 | as is where is | |
106713 | NOVELLUS | VECTOR | TEOS CVD SYSTEM | 300 mm | 01.06.2005 | 1 | as is where is | |
106714 | NOVELLUS | VECTOR | TEOS CVD SYSTEM | 300 mm | 01.06.2005 | 1 | as is where is | |
109288 | Novellus | C3 Vector UV Cure | UV Cure | 300 mm | 01.06.2006 | 1 | as is where is | immediately |
111374 | NP Test | NPR-505L | Power Plasma Reactor | 1 | as is where is | immediately | ||
111375 | NP Test | NPR-507L | Power Plasma Reactor | 1 | as is where is | immediately | ||
83552 | NSK GLOBAL LTD | MAEBASHI PLANT | BALL SCREW | W1201-112P | 28.02.2005 | 4 | as is where is | immediately |
83567 | Numatics | 225-272B | Solenoid Valve | 01.04.2009 | 1 | as is where is | ||
74178 | Numatics | 12DSA4 OA00030 | Solenoid Valve 120V | 01.04.2009 | 1 | as is where is | ||
74179 | Numatics | 227-833B | valve | 3 | as is where is | |||
74180 | Numatics | L22L-03 | FILTER PNEUMATIC | 01.01.2011 | 1 | as is where is | ||
109058 | Olympus | AL110N-LMB6 | Microscope autoloader only (Not including the microscope) | 100 MM AND 150 mm | 1 | as is where is | immediately | |
111400 | Olympus | KLA 1500 LCD | Cold light source with ring light and Adapter ring for different microscope sizes | Assembly | 4 | as is where is | immediately | |
111401 | Olympus | Highlight 2100 | Cold light source with ring light | Assembly | 1 | as is where is | immediately | |
111402 | Olympus | Highlight 2001 | Cold light source with ring light | Assembly | 1 | as is where is | immediately | |
108857 | OLYMPUS | AL100-L8 | Wafer Loader, 200mm, Parts Tool | 200 MM | 1 | inquire | ||
106825 | Olympus | AL110-LMB6 with MX51 | wafer loader with Microscope | 150mm | 1 | as is where is | immediately | |
110696 | Olympus | AL100-L6 | Wafer autoloader | 100MM TO 150 MM | 1 | as is where is | immediately | |
110697 | Olympus | AL100-LB6 | Wafer autoloader | 100MM TO 150 MM | 2 | as is where is | immediately | |
83835 | Olympus | WHK 10X/20L-H | EYEPIECES FOR TRINOCULAR MICROSCOPE | Spares | 2 | as is where is | immediately | |
80254 | OLYMPUS | DBAP-FA-Z | SERVO DRIVER | 30W 500 PPR | 1 | as is where is | immediately | |
65934 | Olympus | BH2-UMA | BRIGHTFIELD / DARKFIELD REFLECTED LIGHT ILLUMINATOR FOR THE BH SERIES MICROSCOPES | SPARES | 1 | as is where is | immediately | |
106190 | Olympus | LH50A | Microscope illuminator, 50W 12 V | spares | 1 | as is where is | immediately | |
106201 | Olympus | BH3 (Parts) | Camera adapter and illuminator for Microscope | spares | 01.06.2005 | 1 | as is where is | immediately |
109035 | Olympus | AL110N-LMB6 | Wafer Inspection Microscope with autoloader | 100 MM AND 150 mm | 1 | as is where is | immediately | |
102638 | Olympus | BA124L001 | DC MOTOR W/ D500 GEAR HEAD | spares | 1 | as is where is | immediately | |
102639 | Olympus | BA124L001 | DC MOTOR W/ D500 GEAR HEAD | spares | 1 | as is where is | immediately | |
108786 | OLYMPUS | BH-BHM | Wafer Inspection Microscope | 150 mm | 1 | as is where is | immediately | |
80247 | Olympus Optical | DBAP-FA-Z GA | Servo Driver | 1 | as is where is | immediately | ||
108858 | ON SITE GAS SYSTEMS | N-20 | N2 Generator with 100 Gallon Storage Tank | FACILITIES | 1 | inquire | ||
109069 | OSCOR | Blue 24 GHz | Specrum Analyzer | 0 | as is where is | immediately | ||
110358 | Oxford Instruments | OPT AMU | Match | SPARES | 1 | inquire | ||
111372 | Oxford Plasmalab | ICP 380 | 1 | as is where is | immediately | |||
111373 | Oxford Plasmalab | 100 PECVD | Etcher Asher | 1 | as is where is | immediately | ||
83824 | PANASONIC | MF A 020LATNP | AC SERVO MOTOR | SPARES | 1 | as is where is | immediately | |
77202 | Panasonic | M91C90GD4W1 | AC Geared Motor (G Series) | spares | 01.12.2008 | 1 | as is where is | immediately |
84232 | Pepperl Fuchs | OBE5000-18GM70-SE5 | proximity sensor | Spares | 1 | as is where is | immediately | |
84234 | pepperl&fuchs | OBE5000-18GM70-E5-V1 | Proximity sensor | Spares | 1 | as is where is | immediately | |
109559 | Philips | PHI 680 | Auger Nanoprobe | Laboratory | 01.05.1998 | 1 | as is where is | immediately |
109077 | Picosun | P1000 | High Volume ALD system for batch coating of parts | Up to 300 mm | 01.06.2020 | 1 | as is where is | immediately |
91330 | Plasma Therm | Wafer/ Batch 740 | DUAL PLASMA ETCH AND RIE | 4" | 1 | as is where is | ||
91331 | Plasma Therm | Wafer/ Batch 740 | DUAL PLASMA ETCH AND RIE | 4" | 1 | as is where is | ||
108863 | PLASMA-THERM | 790 | Reactive Ion Etcher, Refurbished - Call for Details | 1 | inquire | |||
108864 | PLASMA-THERM | SLR770 | Inductively Coupled Etcher with Load-Lock, Refurbished - Call for Details | 1 | as is all rebuilt | immediately | ||
109519 | PLASMART | PF05100-3B36S | RF GENERATOR | Spares | 6 | as is where is | ||
109520 | PLASMART | PF05100-3B36S | RF GENERATOR | Spares | 1 | as is where is | ||
109521 | PLASMART | PFDUAL-6B36A | RF GENERATOR | Spares | 1 | as is where is | ||
106759 | Plasmatherm | SLR 770 | Dual Chamber R.I.E. | 200 mm | 01.06.1994 | 1 | as is where is | immediately |
109586 | Plasmatherm | SLR 720 | RIE Etcher | 150 mm | 1 | inquire | ||
110613 | PlasmaTherm | SLR 740 | Dual Chamber RIE / Plasma etch | 200 mm | 01.04.1999 | 1 | as is where is | immediately |
109599 | Plasmatherm | 790 | Reactive Ion Etcher | 200 mm | 1 | inquire | ||
106953 | PLASMATHERM | LAPECVD | Large Area PECVD system, used for SiO and SiN process depositions | 150 mm | 01.06.2015 | 4 | as is where is | immediately |
110683 | Plasmos | SD2000 | Thin Film Thickness Measurement System / Ellipsometer | 200 mm | 01.06.1998 | 1 | as is where is | immediately |
31246 | PMS | Liquitrack 776200 | Non volatile residual Monitor for water-quality checking | facilities | 01.10.1999 | 1 | as is where is | immediately |
83615 | PMS | MICRO LPC-210 | MICROLASER PARTICLE COUNTER | MALVERN WR 13 LN | 31.01.1989 | 1 | as is where is | immediately |
54210 | Poly Design Inc. | Custom | Heated Quartz Boat storage / drying system | 200 mm | 31.05.2005 | 1 | as is where is | immediately |
83507 | POWERTEC ASTEC | 9J8-200-371 | SUPER SWITCHER TM SERIES POWER SUPPLY | 220 VOLTS | 31.01.1994 | 1 | as is where is | immediately |
83508 | POWERTEC ASTEC | 9K2-300-372 | SUPER SWITCHER TM SERIES POWER SUPPLY | 220 VOLTS | 30.04.1994 | 1 | as is where is | immediately |
83509 | POWERTEC ASTEC | 9J12-130-371 | SUPER SWITCHER TM SERIES POWER SUPPLY | 220 VOLTS | 31.05.1994 | 1 | as is where is | immediately |
83510 | POWERTEC ASTEC | 6C32-EE-371 | SUPER SWITCHER TM SERIES POWER SUPPLY | 220 VOLTS | 30.06.1994 | 1 | as is where is | immediately |
91356 | PSC | DES-220-456AVL | ASHER | 200 mm | 1 | as is where is | immediately | |
94477 | PSK | TERA21 | Asher | 300 mm | 31.05.2002 | 1 | as is where is | |
98833 | PSK | SUPRA3 | Asher Dry Strip | 300 mm | 31.10.2006 | 1 | as is where is | |
108584 | PSK | TERA21 | Asher | 300 mm | 01.05.2006 | 1 | as is where is | immediately |
108586 | PSK | DAS 2000 | Asher | 200 mm | 01.05.2004 | 1 | as is where is | immediately |
100927 | PSK | TERA21 | Asher/Stripper | 300 mm | 31.05.2006 | 1 | as is where is | |
103548 | PSK | SUPRA3 | Dry Strip | 300 mm | 31.05.2006 | 1 | as is where is | |
103549 | PSK | TERA21 | PR Ashing | 300 mm | 1 | as is where is | ||
103550 | PSK | TERA21 | PR Ashing | 300 mm | 1 | as is where is | ||
103551 | PSK | TERA21 | PR Ashing | 300 mm | 1 | as is where is | ||
108193 | PSK | TERA21 | PR Ashing | 300 mm | 01.06.2003 | 1 | as is where is | |
109225 | PSK | Supra IV | Stripper/Asher | 300mm | 1 | as is where is | ||
109226 | PSK | Supra IV | Stripper/Asher | 300mm | 1 | as is where is | ||
109227 | PSK | Supra IV | Stripper/Asher | 300mm | 1 | as is where is | ||
109228 | PSK | Supra IV | Stripper/Asher | 300mm | 1 | as is where is | ||
109564 | PSK | SUPRA III | PHOTORESIST STRIP | 300 mm | 01.05.2006 | 1 | as is where is | immediately |
77159 | Renishaw | RGH24X30A00A | Encoder Head NEW IN BOX | Spares | 1 | as is where is | immediately | |
110359 | RF Global Solutions | RF Services Match controller | Miscellaneous | SPARES | 1 | inquire | ||
110360 | RF Services | ICPSM | Match | SPARES | 1 | inquire | ||
110361 | RF Services | ICPSM | Match | SPARES | 1 | inquire | ||
110362 | RF Services | ICPSM | Match | SPARES | 1 | inquire | ||
110363 | RF Services | ICPSM | Match | SPARES | 1 | inquire | ||
110364 | RF Services | LF Splitter | Miscellaneous | SPARES | 1 | inquire | ||
110365 | RF Services | LF Splitter | Miscellaneous | SPARES | 1 | inquire | ||
110366 | RF Services | LF Splitter | Miscellaneous | SPARES | 1 | inquire | ||
110367 | RF Services | LF Splitter | Miscellaneous | SPARES | 1 | inquire | ||
110368 | RF Services | LF Splitter | Miscellaneous | SPARES | 1 | inquire | ||
110369 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110370 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110371 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110372 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110373 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110374 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110375 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110376 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110377 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110378 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110379 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110380 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | ||
110381 | RF Services | RFS 1000M | Match | SPARES | 1 | inquire | ||
110382 | RF Services | RFS 2502 | Match | SPARES | 1 | inquire | ||
110383 | RF Services | RFS 3002 ICP | Match | SPARES | 1 | inquire | ||
110384 | RF Services | RFS 3002A | Match | SPARES | 1 | inquire | ||
110385 | RF Services | RFS 3002A ICP | Match | SPARES | 1 | inquire | ||
110386 | RF Services | RFS 3006 | Match | SPARES | 1 | inquire | ||
110387 | RF Services | RFS 3009 ICPSM | Match | SPARES | 1 | as is where is | immediately | |
110388 | RF Services | RFS 3018 | Match | SPARES | 1 | inquire | ||
110389 | RF Services | RFS 500 | Match | SPARES | 1 | inquire | ||
110390 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | ||
110391 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | ||
110392 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | ||
110393 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | ||
110394 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | ||
110395 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | ||
110396 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | ||
110397 | RF Services | RFS 700 | Match | SPARES | 1 | inquire | ||
110398 | RF Services | RFS 712 | Match | SPARES | 1 | inquire | ||
110399 | RF Services | Splitter 1k | Miscellaneous | SPARES | 1 | inquire | ||
110400 | RF Services | Splitter 1k | Miscellaneous | SPARES | 1 | inquire | ||
107758 | RF Services | RFS 500/700 | RF Match | Spares | 1 | as is where is | immediately | |
81835 | Rosemont Analytical | 1055-01-11-22 | PH Monitor Solucomp II | 1 | as is where is | immediately | ||
108866 | ROYCE INSTRUMENTS | ASTM-2kg | Die Shear Load Cell | SPARES | 1 | inquire | ||
108867 | ROYCE INSTRUMENTS | ASTM-50g | Wire Pull Load Cell | SPARES | 1 | inquire | ||
108868 | ROYCE INSTRUMENTS | ASTM-200g | Die Shear Load Cell | SPARES | 1 | inquire | ||
108869 | ROYCE INSTRUMENTS | ASTM-200g | Wire Pull Load Cell | SPARES | 1 | inquire | ||
108870 | ROYCE INSTRUMENTS | ASTM-200g | Wire Pull Load Cell | SPARES | 1 | inquire | ||
108871 | ROYCE INSTRUMENTS | STM-20kg | Die Shear Load Cell | SPARES | 1 | inquire | ||
108872 | ROYCE INSTRUMENTS | System 550 100K | Die Shear/Bond Pull Tester with RPTM 50g Wire Pull Load Cell, ASTM-200g, ASTM 2K, STM 20K & STM 100K Shear Test Load Cells, Collection of Workholders | SPARES | 1 | inquire | ||
108873 | ROYCE INSTRUMENTS | Die Shear Workholder | SPARES | 1 | inquire | |||
110465 | Sairem | MU Controller | Miscellaneous | SPARES | 1 | inquire | ||
79602 | Salon Teknopaja OY | PWB | Printed Wire Board Level Drop Tester with Solder Joint Reliability tester | SMT | 31.05.2004 | 1 | as is where is | immediately |
98495 | SAMCO | PD3800 | LED – pecvd system | 50mm to 200mm | 31.10.1997 | 2 | as is where is | immediately |
98496 | SAMCO | RIE-212 IPC | LED Reactive Ion Etcher | 50/100mm | 1 | as is where is | ||
106736 | SAMCO | RIE-300NR | Reactive Ion Etching System | 300 mm | 01.06.2006 | 1 | as is where is | |
106737 | SAMCO | RIE-300NR | Reactive Ion Etching System | 300 mm | 01.06.2006 | 1 | as is where is | |
84373 | SAMSUNG | MR16R0828AN1-CKB | 128 MB/8 RAM | 2 | as is where is | immediately | ||
79889 | Sanitas EG | Multilevel | EPROM Programmer | Electronics Test and Measurement | 1 | as is where is | immediately | |
86303 | Sankei Giken | TCW-12000 CV | Process Module Chiller | Facilities | 01.07.1996 | 1 | as is where is | immediately |
106206 | SANYO DENKI | RBA2C-202 | SERVO DRIVER MODULE | Spares | 2 | as is where is | immediately | |
83634 | SCANLAB | RTC 2 Type XY-01 | PC INTERFACE BOARD | 20-TVS | 31.07.2006 | 2 | as is where is | immediately |
83836 | SCFH AIR | 100 PSIG | 1 | as is where is | immediately | |||
100709 | Schroff | PSM 115 | Power Supply Unit | Spares | 01.05.2007 | 10 | as is where is | immediately |
106661 | SDI | FAAST 230-DP+SPV+SLIC | CARRIER LIFETIME MEASUREMENT | 200 mm | 1 | as is where is | ||
107012 | SEC Semiconductor Equipment Corp. | 3100 | Manual wafer taper | 150 mm | 01.07.2002 | 2 | as is where is | immediately |
106740 | SECRON | IP 300 | Prober | 300 mm | 1 | as is where is | ||
84387 | SEEKA | UM-T50DT | PHOTO SENSOR | 5 | as is where is | immediately | ||
21521 | Seiko | SDI 4000 | operation manual for SDI 4000 Semiconductor process evaluation SEM | manual | 01.06.1995 | 1 | as is where is | immediately |
52191 | Seiko Seiki | SCU 301H | Turbo Pump Controller Unit | spares | 01.06.1999 | 1 | as is where is | immediately |
71921 | Seiko Seiki | SCU-1000C | Controller for Seiko Seiki STP 1000C Turbo pump | spares | 01.10.1999 | 1 | as is where is | immediately |
20268 | SEKISUI | VANTEC SIGMA 200 K1 | Antistatic 200 MM Wafer shipping box | 200 mm | 01.06.2006 | 13 | as is where is | immediately |
109560 | SELA/Camtek | EM3i | Saw for TEM sample preparation | ASSEMBLY | 01.05.2011 | 1 | as is where is | |
77185 | Semco | C60 | Cleanstar PFA Valve C608075305A12HPW C60 HPW | 1 | as is where is | immediately | ||
110466 | Semco | HVS 1500 Controller | Miscellaneous | SPARES | 1 | inquire | ||
110467 | Semco | HVS 2K Controller | Miscellaneous | SPARES | 1 | inquire | ||
77189 | Semco | 156 MM WAFER BOAT | Solar Wafer (156mm) Quartz Rack/Holder for a Furnace | 156mm | 01.06.2010 | 6 | as is where is | immediately |
77191 | Semco | Wafer Boat | Solar Wafer (156mm) Quartz wafer boat | 156mm | 9 | as is where is | immediately | |
77198 | Semco | wafer boat | Solar Wafer (156mm square) Quartz Rack/Holder for a Furnace | 156mm | 3 | as is where is | immediately | |
77208 | Semco | Wafer Boat | Solar Wafer Quartz wafer boat, 5" | 156mm | 3 | as is where is | immediately | |
108588 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER | 300mm | 01.06.2007 | 1 | as is where is | immediately |
108589 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER | 300mm | 01.06.2007 | 1 | as is where is | immediately |
108590 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER | 300mm | 01.06.2007 | 1 | as is where is | immediately |
108591 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER | 300mm | 01.06.2007 | 1 | as is where is | immediately |
108592 | SEMICS | OPUS3 | FULLY AUTOMATED PROBER | 300mm | 01.06.2011 | 1 | as is where is | immediately |
108593 | SEMICS | OPUS3 | FULLY AUTOMATED PROBER | 300mm | 01.06.2011 | 1 | as is where is | immediately |
108035 | SEMILAB | PMR_3000 | Dose Monitoring | 300 mm | 1 | as is where is | ||
54208 | SemiNet Automation | Infinity SACS 251216-120-CE | Semi-Automatic Carousel Boxed Wafer Stocker | 200 mm | 01.10.2007 | 1 | as is where is | immediately |
109585 | Semitool | Symphony 2300 | Spray Acid Tool (1-chamber, 300mm) | 300 mm | 1 | inquire | ||
109587 | Semitool | Equinox | Electroplating System | 1 | inquire | |||
109591 | Semitool | Sirius | HydrOzone wafer cleaning system | 1 | inquire | |||
109592 | Semitool | SST 421 | Spray Solvent Tool | 200 mm | 1 | inquire | ||
106527 | Semitool | SAT2081D2PCCU | OEM SAT Spray Acid Etch Tool | Clamshell | 1 | as is where is | immediately | |
108874 | SEMITOOL | WST 406MG | Wafer Spray Solvent Tool | 1 | inquire | |||
84342 | Semitool | ST-921R-AA | Spin Rinse Dryer | 1 | as is where is | immediately | ||
84351 | Semitool | ST-240 | Spin Rinse Dryer | 1 | as is where is | immediately | ||
84364 | Semitool | PA7230M | SRD Rotor | spares | 1 | as is where is | immediately | |
84365 | Semitool | A72-20M | SRD Rotor | Spares | 1 | as is where is | immediately | |
18839 | SEMITOOL | 2080S(OAZP)CBE | Equipment manual | 1 | as is where is | |||
108202 | SEMITOOL | EO212PM | Cu PLTNG | 01.06.1998 | 1 | as is where is | ||
108203 | SEMITOOL | ST 470 | 1 | as is where is | ||||
108204 | SEMITOOL | WSST | Water Soluble Strip Tool | 01.06.1996 | 1 | as is where is | ||
108205 | SEMITOOL | WST305M | Spin Dry | 1 | as is where is | |||
108974 | Semitool | PSC 101 | Double Stack SRD (Set up for solar wafer use) | 100 mm, M0, M2 | 01.02.1999 | 1 | as is where is | immediately |
106946 | Semitool | ST-921R-AA | Spin Rinse Dryer | 100 mm | 2 | as is where is | immediately | |
108486 | Semitool | Scepter Solvent | Batch Wafer Processing | 1 | as is where is | |||
109285 | Semitool | Equinox Cu | Cu Plating tool with 4 plating chambers and 5 preclean chambers | 200 mm | 01.06.1999 | 1 | as is where is | immediately |
108715 | Semix | Tazmo | SOG track | 150 mm | 1 | inquire | immediately | |
78169 | Sensarray | 1530D-8-0023 | Process Probe Instrumented Wafer | 200 mm | 31.05.2003 | 1 | as is where is | immediately |
78170 | Sensarray | 1530D-8-0023 | Process Prober Instrumented Wafer | 200 mm | 01.07.2003 | 1 | as is where is | immediately |
109074 | Sensofar | PLu Neox Optical 3D Surface Profiler with motorized XY stage, 4 Lens (10X, 20X, 50X, 100X), anti vibration table | Optical 3D Surface Profiler | 01.06.2012 | 1 | as is where is | ||
108696 | SENTECH | Senduro 300 | Thin Film measurement | Up to 300 MM | 01.06.2008 | 1 | inquire | |
107898 | Seren | L301 | RF Generator | Spares | 1 | as is where is | immediately | |
107903 | Seren | L601 | RF Generator | Spares | 1 | as is where is | immediately | |
110468 | Seren | AT20 | Match | SPARES | 1 | inquire | ||
110469 | Seren | AT30 | Match | SPARES | 1 | inquire | ||
110470 | Seren | AT35 | Match | SPARES | 1 | inquire | ||
110471 | Seren | AT35DSE2 | Match | SPARES | 1 | inquire | ||
110472 | Seren | AT35VFC | Match | SPARES | 1 | inquire | ||
107913 | Seren | R601 | RF Generator | Spares | 1 | as is where is | ||
110473 | Seren | AT6 | Match | SPARES | 1 | inquire | ||
110474 | Seren | AT6 | Match | SPARES | 1 | inquire | ||
110475 | Seren | AT6M | Match | SPARES | 1 | inquire | ||
110476 | Seren | Controller | Miscellaneous | SPARES | 1 | inquire | ||
110477 | Seren | I1827MWF | Generator | SPARES | 1 | inquire | ||
110478 | Seren | I1827MWF | Generator | SPARES | 1 | inquire | ||
110479 | Seren | I1827MWF | Generator | SPARES | 1 | inquire | ||
110480 | Seren | I1827MWF | Generator | SPARES | 1 | inquire | ||
110481 | Seren | I1827MWF | Generator | SPARES | 1 | inquire | ||
110482 | Seren | I2000 | Generator | SPARES | 1 | inquire | ||
110483 | Seren | L301 | Generator | SPARES | 1 | inquire | ||
110484 | Seren | L301 | Generator | SPARES | 1 | inquire | ||
110485 | Seren | L301 | Generator | SPARES | 1 | inquire | ||
110486 | Seren | L301 | Generator | SPARES | 1 | inquire | ||
110487 | Seren | L301 | Generator | SPARES | 1 | inquire | ||
110488 | Seren | L301 | Generator | SPARES | 1 | inquire | ||
110489 | Seren | L301 | Generator | SPARES | 1 | inquire | ||
110490 | Seren | L601 | Generator | SPARES | 1 | inquire | ||
110491 | Seren | L601 | Generator | SPARES | 1 | inquire | ||
110492 | Seren | MC2 Controller | Miscellaneous | SPARES | 1 | inquire | ||
110493 | Seren | MC2 Controller | Miscellaneous | SPARES | 1 | inquire | ||
110494 | Seren | MC2 Controller | Miscellaneous | SPARES | 1 | inquire | ||
110495 | Seren | MC2 Controller | Miscellaneous | SPARES | 1 | inquire | ||
110496 | Seren | MC2 Controller | Miscellaneous | SPARES | 1 | inquire | ||
110497 | Seren | MC2 Controller | Miscellaneous | SPARES | 1 | inquire | ||
110498 | Seren | MCRS | Match | SPARES | 1 | inquire | ||
110499 | Seren | PSRS | Generator | SPARES | 1 | inquire | ||
110500 | Seren | R2001 | Generator | SPARES | 1 | inquire | ||
110501 | Seren | R3001 | Generator | SPARES | 1 | inquire | ||
110502 | Seren | R601 | Generator | SPARES | 1 | inquire | ||
110503 | Seren | Seren Match | Match | SPARES | 1 | inquire | ||
109525 | SEREN | R301MKⅡ | RF GENERATOR | Spares | 1 | as is where is | ||
110504 | SET | SET 1500 | Match | SPARES | 1 | inquire | ||
110505 | SET | SET 1500 | Match | SPARES | 1 | inquire | ||
110506 | SET | SET 1500 | Match | SPARES | 1 | inquire | ||
108875 | SEZ | Chemical Storage Cabinet, 2ea Available | SPARES | 1 | inquire | |||
106516 | SFI | Endeavor AT | PVD cluster tool | 150 mm | 1 | as is where is | immediately | |
106517 | SFI | Endeavor AT | PVD cluster tool | 150 mm | 01.06.1990 | 1 | as is where is | immediately |
74255 | SGL Carbon / Ringsdorff | 3PC1396/2 | Heater 18 inch Graphite 3PC1396 2 | spares | 01.10.2003 | 3 | as is where is | |
108054 | Shibasoku | S230 | Automated test equipment | TEST | 1 | as is where is | ||
108102 | Shibuya | EH162 | TestHandler | TEST | 1 | as is where is | ||
108103 | Shibuya | EH520 | TestHandler | TEST | 1 | as is where is | ||
77161 | Shimaden | SR91-8P-90-1N0 | Temperature Regulator | Spares | 1 | as is where is | immediately | |
111406 | Shin-Etsu | MW 200 | Wafer Transportation Box 12" | Spares 200 MM | 12 | as is where is | immediately | |
108960 | Shin-Etsu | MW 200 | Wafer Transportation Box 8" | Spares 200 MM | 50 | as is where is | immediately | |
83548 | Shindengen | SDC05150G | 5V 150 A Regulated power supply, Advantest T5335P | Spares | 1 | as is where is | immediately | |
108594 | SHINKAWA | COF 300 | Flip Chip Bonder | Assembly | 01.05.2005 | 5 | as is where is | immediately |
108595 | SHINKAWA | UTC-2000 SUPER | Wire Bonder | Assembly | 01.05.2008 | 1 | as is where is | immediately |
108596 | SHINKAWA | UTC-1000 SUPER | Wire Bonder | Assembly | 01.05.2007 | 21 | as is where is | immediately |
108597 | SHINKAWA | UTC-3000WE | Wire Bonder | Assembly | 01.05.2012 | 1 | as is where is | immediately |
105873 | Shinmei Keiki | 0-1 kgf/cm2 | pressure gauge | spares | 2 | as is where is | immediately | |
93409 | Shinwa Controls Co., Ltd. | CH1-CP-D1 | CH1-CP-D1 | 1 | as is where is | |||
76610 | SHOWA | 341 | Laboratory Power Supply - 4 channel | laboratory | 01.05.1998 | 1 | as is where is | immediately |
76611 | SHOWA ELECTRONICS | 511-16 | REGULATED DC POWER SUPPLY | laboratory | 1 | as is where is | immediately | |
110744 | Siconnex | Produce 200 Acid | Wet Cleaning System | 200 mm | 01.06.2008 | 1 | as is where is | |
106741 | SIGMAMELTEC | SFG3000 | Photomask | 300 mm | 01.06.2006 | 1 | as is where is | |
106533 | Sikama | Falcon 8500 | REFLOW OVEN | SMT | 01.06.2015 | 1 | as is where is | immediately |
97080 | Singulus | S-000414 | Singular | 05.03.2014 | 1 | inquire | ||
106878 | Singulus | Singular XP | ICP PECVD system for solar cells production | Solar | 01.06.2015 | 3 | as is where is | immediately |
108206 | SJ SEMITECH | Liquid Horning | Liquid Horning | 1 | as is where is | |||
84237 | SKF | 6002-2Z | bearings | Spares | 1 | as is where is | immediately | |
84225 | SMC | CY 4R08 | CY3B15-300 CYLINDER | Spares | 1 | as is where is | immediately | |
84236 | SMC | ZPT25US-B5 | Suction cups | Spares | 1 | as is where is | immediately | |
84256 | SMC | WO 36517 | RODLESS CYLINDER | 01.02.1994 | 1 | as is where is | immediately | |
84259 | SMC | CMFN20-50 | AIR CYLINDER | 1 | as is where is | immediately | ||
84262 | SMC | CDGBN20-204 | AIR CYLINDER | 3 | as is where is | immediately | ||
84263 | SMC | CDM2BZ20-125 | AIR CYLINDER | 2 | as is where is | immediately | ||
84264 | SMC | CMFN20-50 | AIR CYLINDER | 1 | as is where is | immediately | ||
84267 | SMC | CDM2RA20-190 | AIR CYLINDER | 1 | as is where is | immediately | ||
84268 | SMC | CDG1FA20-222 | AIR CYLINDER | 1 | as is where is | immediately | ||
84269 | SMC | CDJ2F16 | AIR CYLINDER | 1 | as is where is | immediately | ||
83547 | SMC | IRS_056/09/GT | RODLESS PNEUMATIC CYLINDER *NEW* | 01.01.2008 | 1 | as is where is | immediately | |
77152 | SMC | RL17858 1030567 | 0.8MPS RODLESS PNEUMATIC CYLINDER *NEW* | 01.01.2008 | 1 | as is where is | immediately | |
77157 | SMC | ZX1101-K15LZB-D21L-X121 | Vacuum GENERATOR ZXF35 | Spares | 1 | as is where is | immediately | |
77163 | SMC | CDRB2BWU20-270S | ACTUATOR, ROTARY, VANE TYPE | Spares | 1 | as is where is | immediately | |
84079 | SMC | CDY1S15H | TESTED | 01.07.1994 | 2 | as is where is | ||
105839 | SMC | INR-498-050 | Single loop chiller | FACILITIES | 1 | as is where is | immediately | |
83839 | SMC | CDQSWB20-35DC | COMPACT CYLINDER | Spares | 3 | as is where is | immediately | |
83840 | SMC | ECQ2B32-10DC | ACTUATOR, 32MM CQ2 DOUBLE-ACTING | Spares | 3 | as is where is | immediately | |
83842 | SMC | CQ2B25-25D | ACTUATOR CYLINDER | Spares | 5 | as is where is | immediately | |
83843 | SMC | CQ2B25-20DC | ACTUATOR, CQ2 COMPACT CYLINDER | Spares | 3 | as is where is | immediately | |
83844 | SMC | ECDQ2B32-30D | CQ2 COMPACT CYLINDER | Spares | 2 | as is where is | immediately | |
83845 | SMC | ECDQ2B32-50D | COMPACT CYLINDER | Spares | 1 | as is where is | immediately | |
83867 | SMC | MXS16-30 AS | cyl, slide table, MXS/MXJ GUIDED CYLINDER | SPARES | 1 | as is where is | immediately | |
83612 | SMC | CDRB2BWU20-270S | ACTUATOR, ROTARY, VANE TYPE | Spares | 1 | as is where is | immediately | |
83868 | SMC | CDQ1B32-40D | CYL compact, CQ2 COMPACT CYLINDER | SPARES | 1 | as is where is | immediately | |
83869 | SMC | CDQ1B40-20DM | CYLINDER compact, CQ2 COMPACT CYLINDER | SPARES | 1 | as is where is | immediately | |
83872 | SMC | DF9N | VALVES | SPARES | 2 | as is where is | immediately | |
83632 | SMC | MHF2-12D1R | SMC cylinder | 1 | as is where is | immediately | ||
110806 | SMC | HRS050-WN-20 | Thermo Chiller | chiller | 01.11.2016 | 1 | ||
93406 | SMC | INR 341-54E | CHILLER | 1 | inquire | |||
93407 | SMC | INR-341-59B | CHILLER | Chiller | 1 | as is where is | immediately | |
93408 | SMC | INR-341-59A | INR-341-59A | 1 | as is where is | |||
93410 | SMC | INR-499-201 | Chiller | Chiller | 1 | as is where is | immediately | |
93411 | SMC | INR-499-203 | INR-499-203 | CHILLER | 1 | as is where is | ||
93414 | SMC | INR-341-59B1 | chiller | 1 | as is where is | |||
84214 | SMC | ULUSP-00005 | PNEUMATIC MANIFOLD BASE BLOCK with SMC VL-14 VL-15 VL-16 | Spares | 2 | as is where is | immediately | |
109031 | SMT | Max QM3000 | Automatic Pick and Place Machine | SMT | 01.04.2022 | 1 | as is where is | immediately |
110631 | Sokudo | SK-3000 | Lithography Coater and Developer | 300 mm | 1 | as is where is | ||
110632 | Sokudo | SK-3000 | Lithography Coater and Developer | 300 mm | 1 | as is where is | ||
110633 | Sokudo | SK-3000 | Lithography Coater and Developer | 300 mm | 1 | as is where is | ||
110634 | Sokudo | SK-3000 | Lithography Coater and Developer | 300 mm | 1 | as is where is | ||
110635 | Sokudo | SK-3000 | Lithography Coater and Developer | 300 mm | 1 | as is where is | ||
110636 | Sokudo | SK-3000 | Lithography Coater and Developer | 300 mm | 1 | as is where is | ||
109589 | Solitec | FlexiFab | Coater and Developer | 150 mm | 1 | inquire | ||
109590 | Solitec | 5100 | Manual Spin Coater | 150 mm | 1 | inquire | ||
73208 | Solitec | 5110C | Manually loading Photoresist Spin Coater | 3 to 9 inch | 01.09.1998 | 1 | as is where is | immediately |
111384 | SONIX | HS-1000 | Scanning Acoustic Microscope | Laboratory | 01.06.2010 | 1 | as is where is | immediately |
108876 | SONIX | UHR-2000 | Scanning Acoustic Microscope | ASSEMBLY | 1 | inquire | ||
53268 | Sorensen | SS200-S0120 | Power Supply Megatest Part number 113849 | Spares | 31.05.1994 | 3 | as is where is | immediately |
83505 | SORENSEN | 220 VOLTS | POWER SUPPLY | 220 VOLTS | 30.11.1994 | 3 | as is where is | immediately |
84366 | Sosul | Etch Kit | 6" Etch kit for Sosul 2300 | 6" | 1 | as is where is | immediately | |
7689 | Special Optics | Beam Enlarger for Argon Ion Laser | Beam Enlarger for Argon Ion laser | parts | 01.06.1992 | 2 | as is where is | immediately |
7690 | Special Optics | Fourier Transform Lens | Fourier transform Lens for Argon Ion laser | parts | 01.06.1992 | 2 | as is where is | immediately |
53037 | Special Optics | Half silvered mirror 10" X 14" | spares | 1 | as is where is | immediately | ||
53038 | Special Optics | Custom | Motorized Iris 6" | spares | 1 | as is where is | immediately | |
53039 | Special Optics | Mirror, 9" X 7" | spares | 1 | as is where is | immediately | ||
53040 | Special Optics | APOD #113 | spares | 1 | as is where is | immediately | ||
53043 | Special Optics | Beam expander | spares | 1 | as is where is | immediately | ||
110507 | SPTS | A45494 | Match | SPARES | 1 | inquire | ||
110508 | SPTS | A48870R | Match | SPARES | 1 | inquire | ||
110509 | SPTS | AC1948 | Match | SPARES | 1 | inquire | ||
110510 | SPTS | AS307416.07 | Match | SPARES | 1 | inquire | ||
110511 | SPTS | AS310777.04 | Match | SPARES | 1 | inquire | ||
110512 | SPTS | AS314055-03 | Match | SPARES | 1 | as is where is | immediately | |
110513 | SPTS | AS317624.A | Match | SPARES | 1 | inquire | ||
110514 | SPTS | AS317624.H | Match | SPARES | 1 | inquire | ||
110515 | SPTS | AS318775.07 | Match | SPARES | 1 | inquire | ||
110516 | SPTS | AS318775.07 | Match | SPARES | 1 | inquire | ||
110517 | SPTS | AS325489.01 | Match | SPARES | 1 | inquire | ||
110518 | SPTS | AS325489.02 | Match | SPARES | 1 | inquire | ||
110519 | SPTS | AS325490.01 | Match | SPARES | 1 | inquire | ||
110520 | SPTS | AS325490.01 | Match | SPARES | 1 | inquire | ||
102623 | SPTS | Omega 201 | Plasma Dry etcher (For spares use) | 200 mm | 01.05.2010 | 1 | as is where is | immediately |
84414 | SQUARED | SBO-2 | SWITCH | 3536 | 3 | as is where is | immediately | |
108706 | SSEC | WaferStorm 3300 | Single Wafer Cleaning System | 200 mm | 01.06.2005 | 1 | inquire | |
110780 | SSM | 5130 Hg-CV | Hg-CV measurement system | up to 12 inch | 01.01.2004 | 1 | as is where is | immediately |
108773 | SSM | 470i | CV Plotter | 1 | inquire | |||
106742 | SSM | Fastgate 5200 | Resistivity / CV Measurement | 200 mm | 01.06.2008 | 1 | as is where is | immediately |
95233 | ST Automation | MT 32 SX | Fully Automated Memory Test System for BIST and NAND Memories | TEST | 30.11.2005 | 1 | inquire | immediately |
86279 | ST Automation | MT32SX | Flash Memory testing System | TEST | 30.06.2005 | 1 | as is where is | immediately |
86280 | ST Automation | PT-M1 | Automated Test System | Test | 1 | as is where is | immediately | |
80177 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately |
80178 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately |
80179 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately |
80180 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately |
78133 | ST Automation | QT200 | Test System | test | 31.05.2007 | 1 | as is where is | immediately |
80181 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately |
80182 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately |
80183 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately |
80184 | ST Automation | QT EPR16 DD | Automated Flash Memory Tester System with monitor | TEST | 30.09.2007 | 1 | as is where is | immediately |
78137 | ST Automation | QT200 | Tester System with monitor | test | 1 | as is where is | immediately | |
78138 | ST Automation | R.S.V. | ST Memory Test System Electronic Automation | test | 31.03.2007 | 1 | as is where is | immediately |
102494 | ST Automation | MT32SX | Automated Flash Memory Testing System FOR TESTING 256 MB MEMORY | TEST | 30.09.2007 | 1 | as is where is | immediately |
93822 | ST Automation | EPR88 | Automated Test System | TEST | 31.05.2005 | 1 | as is where is | immediately |
99969 | ST Automation | QT200 | Automated Tester System with monitor | test | 31.05.2005 | 1 | as is where is | immediately |
86670 | ST Automation | QT200 | Automated Test System | TEST | 31.05.2005 | 1 | as is where is | immediately |
93865 | ST Automation | QT 200 epr 88 | Flash Memory Testing System | Test | 31.05.2005 | 1 | as is where is | immediately |
101848 | ST Automation | MT32SX | Automated Flash Memory Testing System | test | 31.05.2007 | 1 | as is where is | immediately |
71904 | ST Automation | test head | test head for Eprom U 1835 | 1 | as is where is | |||
71908 | ST Automation | PTM1 | Flash Memory Tester | Test | 3 | as is where is | immediately | |
71910 | ST Automation | MT32SX | Flash Memory Test System for 256 MB memory testing | TEST | 31.05.2008 | 1 | as is where is | immediately |
84376 | STARTECH | GC9SF | GENDER CHANGER | 1 | as is where is | immediately | ||
84297 | Staubli | 308998-001 | RX90 robot controller | spares | 1 | as is where is | immediately | |
18864 | STEAG AST | 98050479 | AST machine documentation | 1 | as is where is | |||
109605 | Strasbaugh | 7AA-SP | Grinder | 1 | inquire | |||
109606 | Strasbaugh | 6EC | CMP Wafer Polisher | 1 | inquire | |||
108490 | Strasbaugh | 6DS-SP | Multi-Process CMP | 200 MM | 1 | as is where is | ||
110521 | STS | ICP BALUN MATCH | Match | SPARES | 1 | inquire | ||
84022 | Sun | Ultrasparc 60 | Unix computer from Teradyne J994 | Test | 31.12.1996 | 1 | as is where is | immediately |
84023 | Sun | Ultrasparc 60 (Hard Disk Drive) | Hard Disk from Unix computer from Teradyne J994 | Test | 01.01.1997 | 1 | as is where is | immediately |
84380 | SUNX | SS-A5 | SENSOR CONNECTIONS | 6 | as is where is | immediately | ||
84381 | SUNX | CX-21/FX/SU | SENSOR SYSTEM | C8 | 6 | as is where is | immediately | |
84382 | SUNX | SU-7 LO | SENSOR & SYSTEM | HB 012 | 3 | as is where is | immediately | |
84383 | SUNX | GSA-5S | QUALITY PROXIMITY SENSOR | HB 012 | 2 | as is where is | immediately | |
84384 | SUNX | SS-AT1 / SS2-300E | SENSOR SYSTEM | 2 | as is where is | immediately | ||
84385 | SUNX | SH-21E | SENSOR SYSTEM | 1 | as is where is | immediately | ||
69782 | Super vexta | udk5114n | 5-phase driver | 1 | as is where is | immediately | ||
70302 | SURPASS | PTC 3/8 NU | PRESSURE SENSOR FOR EBARA FREX 200 | SPARES | 1 | as is where is | immediately | |
98497 | SUSS | ACS200 | Photoresist coater and developer track, 1C, 1 D | 200 mm | 1 | as is where is | immediately | |
108299 | Suss MicroTec | CB200 | High Pressure Wafer Bonding Chamber | 200 mm | 01.06.2012 | 1 | as is where is | immediately |
106535 | SUSS Microtec | ACS200 | Automated Photoresist Coater | 200 mm | 1 | as is where is | immediately | |
106536 | SUSS Microtec | ACS200 | Automated Photoresist Coater | 150 mm/200 mm | 1 | as is where is | immediately | |
106537 | SUSS Microtec | ACS200 Classic | Automated Photoresist Coater | 200 mm | 1 | as is where is | immediately | |
106538 | SUSS Microtec | ACS200 Classic | Automated Photoresist Coater | 150 mm/200 mm | 1 | as is where is | immediately | |
106539 | SUSS Microtec | ACS200 Plus | Automated Photoresist Coater | 150 mm/200 mm | 1 | as is where is | immediately | |
106541 | SUSS Microtec | MA200 | MASK ALIGNER | 150 mm/200 mm | 01.06.1999 | 1 | as is where is | immediately |
110737 | Suss MicroTec | Falcon | Polyimide Photo-resist Developer Track, 2D | 150-200 mm | 01.06.1996 | 1 | as is where is | immediately |
106913 | SUSS Microtec | MA150 (Spare Parts) | spares | 1 | as is where is | 2 weeks | ||
110805 | SUSS Microtec | MA200CO | Mask Aligner with topside alignment | 01.07.2014 | 0 | as is where is | immediately | |
106954 | Suss MicroTec (Karl Suss) | MA150 | Mask Aligner | 150 mm | 01.06.2004 | 1 | as is where is | immediately |
106955 | Suss MicroTec (Karl Suss) | MA150 | Mask Aligner | 150 mm | 01.06.1998 | 1 | as is where is | immediately |
106669 | SUSS MICROTECH | PM8 | Prober | 200 mm | 01.06.1996 | 1 | as is where is | |
106670 | SUSS MICROTECH | RC 16 | Resist Spin Coater | N/A | 1 | as is where is | ||
106671 | SUSS MICROTECH | RC 16(RC5) | Resist Spin Coater | N/A | 1 | as is where is | ||
106672 | SUSS MICROTECH | RC 8-ACS 200 | Resist Spin Coater | 200 mm | 1 | as is where is | ||
87367 | SVG | 99-46450-01 | 9200SE SVG ASML 90 track Z-robot | Robot | 1 | as is all rebuilt | immediately | |
108104 | SVG | 8626/8636 | Coater Track | 1 | as is where is | |||
108105 | SVG | 8632-CTD-D | Developer Track | 1 | as is where is | |||
72155 | SVG /ASM | 128197-001 | HEATER ELEMENT, HCGI | Spares | 31.01.2003 | 1 | as is where is | immediately |
108979 | SVG Thermco | 606314-02 REV E | Galil Motion Controller CMU PCB, DMC 1330 | Spares | 1 | as is where is | immediately | |
108980 | SVG Thermco | 606314-02 REV 1 | Galil Motion Controller CMU PCB, DMC 1330 | Spares | 1 | as is where is | immediately | |
108982 | SVG Thermco | 606180-01 rev 04 | WTU MOTION CONTROL I/F PCB | Spares | 01.06.2001 | 1 | as is where is | immediately |
108983 | SVG Thermco | 168160-001 REV 4 | PCB, ANALOG ATMOSPHERE APL | Spares | 01.06.1998 | 1 | as is where is | immediately |
108984 | SVG Thermco | 606210-01 REV 4 | S4 CLPB MOTION CONTROL INTERFACE PCB | Spares | 01.08.2001 | 1 | immediately | |
108985 | SVG Thermco | VMEXB12D-CS | VMEBus J1/J2 Common Substrate Extender Board | Spares | 01.06.2004 | 1 | as is where is | immediately |
108986 | SVG Thermco | 165220-001 REV B | EXTENDER BOARD | Spares | 1 | as is where is | immediately | |
106941 | SVG Thermco | 168150-002 REV 3 S13 | RELAY BOARD PCB | Spares | 1 | as is where is | immediately | |
106942 | SVG Thermco | 606200-01 REV 3 S5 | WAF CRT MOTION CONTROL I/F PCB | Spares | 01.06.2001 | 1 | as is where is | immediately |
106943 | SVG Thermco | 606210-01 REV 4 S4 | CLPB MOTION CONTROL I/F PCB | Spares | 01.06.2001 | 1 | as is where is | immediately |
106743 | SVS | MSX1000 | Auto Track | 200 mm | 1 | as is where is | ||
83909 | SWAGELOK | 12M06 | TUBE FITTINGS & VALVE | 9 | as is where is | immediately | ||
83910 | SWAGELOK | 55-8-VCO-4 | TUBE FITTINGS | 5 | as is where is | immediately | ||
83911 | SWAGELOK | SS-4-VCO-3 | TUBE FITTINGS | 15 | as is where is | immediately | ||
83912 | SWAGELOK | SS-4-VCO-4 | TUBE FITTINGS | 9 | as is where is | immediately | ||
83913 | SWAGELOK | SS-605-4 | TUBE FITTINGS | 12 | as is where is | immediately | ||
83915 | SWAGELOK | GLV-4MW-3 | WELD FITTINGS | L-606A | 2 | as is where is | immediately | |
83919 | SWAGELOK | 207/235/332 | TUBE FITTINGS | 4 | as is where is | immediately | ||
80257 | Swagelok / CAJON | SS-6-VC0 | FITTINGS | spares | 8 | as is where is | immediately | |
33413 | SYNAX | SX3100 | Fully Automated test Handler, ambient and hot configured. | TEST | 01.05.2006 | 1 | as is all rebuilt | immediately |
33414 | SYNAX | SX3100 | Fully Automated test Handler, ambient and hot configured. | test | 01.05.2006 | 1 | as is all rebuilt | immediately |
79888 | System General | T9600 | Universal Device Programmer | Electronics Test and Measurement | 31.05.2003 | 1 | as is where is | immediately |
79890 | Systron Donner | DL 40 - 2A | Powe Supply - single and dual voltage | 1 | as is where is | immediately | ||
78136 | Sytrama | MTM 32 V01 | ST Test Head Manipulator QT 124 | 30.11.2005 | 1 | as is where is | immediately | |
80089 | Sytrama | MTM 32 V01 | ST Test Head Manipulator QT 124 | 30.11.2005 | 1 | as is where is | immediately | |
108877 | TAMARACK SCIENTIFIC | Mask Aligner, Contact Mask Exposure System | 1 | inquire | ||||
109015 | Tazmo/Semix | TR 6133UD | Photoresist Coater and Developer tRACK, SOG type | 100 mm to 150 mm | 2 | as is where is | immediately | |
108883 | TEMESCAL | BJD-1800 | E-Beam Evaporator with CV-14 Power Supply | 1 | inquire | |||
108884 | TEMESCAL | FCE-2500 | E-Beam Evaporator with Simba 2 Power Supply | 1 | inquire | |||
108885 | TEMESCAL | VES-2550 | E-Beam Evaporator with CV-14 Power Supply | 1 | inquire | |||
83575 | TENCOR INSTRUMENTS | AC 100V | POWER SW | AC 100 V | 01.04.1996 | 1 | as is where is | |
83576 | TENCOR INSTRUMENTS | AC 100-120 V | POWER SW | AC 100-120 V | 01.11.1995 | 1 | as is where is | immediately |
108886 | TERRA UNIVERSAL | 8 Tank | Stainless Steel Sink with 8ea 14" X 14" X 12" (d) Tanks | 1 | inquire | |||
108887 | TERRA UNIVERSAL | 1679-06-1 | SST Dessicator Cabinet , 2ea Available | SPARES | 1 | inquire | ||
108888 | TERRA UNIVERSAL | Desiccator Box, 12"x11"x12" | SPARES | 1 | inquire | |||
108776 | TERRA UNIVERSAL | Dessicator Box | 1 | as is where is | ||||
108608 | TES | CHALLENGER_ST | Plasma-Enhanced CVD system | 300 mm | 01.05.2010 | 1 | as is where is | immediately |
80266 | TESCOM | 150 | REGULATORS PRESSURE | 3 | as is where is | immediately | ||
91569 | Thermo Fisher | ECO 1000 | FTIR System | 200 mm | 01.05.2000 | 1 | as is where is | immediately |
108609 | THERMONICS | T-2500SEA | Precision Temperature Forcing System | 300 mm | 01.05.2011 | 1 | as is where is | immediately |
108889 | THERMONICS | T-2427 | Temperatuire Forcing System with DUT Cooling Shroud, Needs Compressor Work | ASSEMBLY | 1 | inquire | ||
106551 | TT Vision | T-224X | TT Vision - Post Tape Inspection | 1 | as is where is | |||
108890 | ULTRACISION | 860 | Manual Wafer Prober, for up to 200mm Wafers | 200 MM | 1 | inquire | ||
108610 | UNAXIS | SLR-720 | RIE | 150 mm | 1 | as is where is | immediately | |
109532 | UNAXIS | SLR-720 | REACTIVE ION ETCHER | 200mm | 01.06.2012 | 1 | as is where is | |
102642 | Unaxis/Balzers | ZH620 Alumina Fill | Fill Sputter Deposition System | 125 mm | 01.05.2000 | 1 | as is where is | immediately |
84078 | UNIPHASE | 1103P-0187 | HE NE Laser | Spares | 31.12.1994 | 1 | as is where is | immediately |
102593 | Various | Vacuum Valves | Various Vacuum valves (MKS, Varian, Fuji Seiki) and fittings | SPARES | 10 | as is where is | immediately | |
77210 | various MFCs | MFC LOT | Qualiflow, Brooks 5850 Cracked/broken MFCs for refurb. | spares | 01.01.2009 | 1 | as is where is | immediately |
109600 | Veeco Dimension | 3100 | Atomic Force Microscope | 1 | inquire | |||
103383 | Vero Electronics | 116-010069H | Monovolt PK120 Power Supply, +5V 20A | Spares | 30.04.2001 | 4 | as is where is | immediately |
108611 | Vision Semicon | VSP-88A(H) | Oven | 200 mm | 01.05.2005 | 1 | as is where is | immediately |
108612 | Vision Semicon | VSP-88H | Oven | 200 mm | 01.05.2005 | 1 | as is where is | immediately |
108613 | Vision Semicon | VSP-88H | Oven | 200 mm | 01.05.2007 | 1 | as is where is | immediately |
106755 | VISTEC | LWM9000 | CD SEM for 65 nm mask measurement | Reticle / 150 mm | 01.06.2005 | 1 | as is where is | immediately |
108895 | VLSI STANDARDS INC. | Various | Step Height Standards, Various Models, 12ea Available | SPARES | 1 | inquire | ||
111404 | VOTSCH | VC-4020 | Temperature and Humidity Chamber | Reliability | 1 | as is where is | immediately | |
106756 | WAFER MASTERS | SAO-302LP | TSV Cu Anneal | 300 mm | 01.06.2000 | 1 | as is where is | |
77164 | Wasco | SV129-31W3A/2065 | Vacuum pressure switch 75 Torr | Spares | 1 | as is where is | immediately | |
98475 | Watkin Johnson | UTC 800 | atmospheric wafer handling robot( WJ-999) | 150/200mm | 3 | as is where is | ||
108981 | Watkins Johnston | 903169-001 rev D | WJ 952 MFC REGULATION PCB | Spares | 01.06.1994 | 1 | as is where is | immediately |
82219 | WAVECREST | DTS-2070C (-52) | Credence Duo Wavecrest digital time controller | spares | 31.05.1999 | 2 | as is where is | immediately |
111403 | Weiss | WT3-340/70 | Thermal Shock Chamber | Reliability | 1 | as is where is | immediately | |
100712 | Weiss | VT 7012 S2 | Temperature Shock Test Chamber | 1 | as is where is | immediately | ||
108782 | WENTWORTH LABS | HOP "Hands Off Probe" | Micropositioner, 2ea Available | 1 | as is where is | |||
109033 | WEST BOND | 10490 | Convertible Bonder , Wedge to Ball | Assembly | 1 | as is where is | immediately | |
109034 | WEST BOND | 2416B | Automatic Wedge Bonder | Assembly | 1 | as is where is | immediately | |
108783 | WEST-BOND | 7200A | Manual Epoxy Die Bonder | Assembly | 1 | as is all rebuilt | immediately | |
106835 | Yaskawa | XU RC350D-C31+ERCR-RS09-A003 | atmospheric wafer robot( DNS SU-3000) | 300mm | 1 | inquire | ||
106836 | Yaskawa | XU RC350D-C61+ERCR-RS10-C003 | atmospheric wafer robot( DNS SU-3000) | 300 mm | 3 | as is where is | immediately | |
106837 | Yaskawa | XU RC350D-K01+ERCR-RS09-A003 | atmospheric wafer robot( DNS SU-3100) | 300mm | 1 | inquire | ||
106838 | Yaskawa | XU RCM9205 with ERCR-NS01-A003 controller | atmospheric wafer robot for KLA | 300mm | 1 | inquire | ||
106839 | Yaskawa | XU RCM9206 robot alone | atmospheric wafer robot for KLA | 300mm | 2 | inquire | ||
106840 | Yaskawa | XU RSM53E0 with XU-CM6180 controller | atmospheric wafer robot( Ebara Frex 300 CMP) | 300mm | 4 | inquire | ||
98498 | Yaskawa | XU RCM9206 | atmospheric wafer robot( KLA 2835i) | 300mm | 2 | as is where is | ||
106979 | YASKAWA | ERCR-RS10-C003 | CONTROLLER FOR DNS SU3000 ROBOT | Robot | 01.09.2006 | 3 | as is where is | immediately |
110739 | Yushin | WSS Demount Tool DM5 | Fully Automatic Wafer demounter | 200-300 mm | 01.06.2011 | 1 | as is where is | immediately |
110740 | Yushin | WSS8001D | Fully Automatic Wafer demounter | 200 MM | 01.06.2006 | 1 | as is where is | immediately |
108545 | Zeiss | Axiospect 300 | Optical Review System | 300 mm | 4 | as is where is | ||
110600 | ZEISS | Stemi 2000 | Stereozoom Microscope | Assembly | 1 | inquire | immediately | |
84242 | Zeiss | corygon 2.8/60mm | 2.8/60mm objective lens | 1 | as is where is | immediately | ||
83738 | Zeiss | Axiotron (spare Parts) | Axiotron microscope spare parts | 1 | as is where is | immediately | ||
84077 | Zeiss | C35 | 35mm Camera with microscope attachments | 1 | as is where is | immediately | ||
84097 | Zeiss | AxioMAT screws | AxioMAT Thumbscrews, spare parts | 1 | as is where is | immediately | ||
84101 | Zeiss | Turret | Turret 4 position for Zeiss, Leica and others, good condition | 1 | as is where is | immediately | ||
83861 | Zeiss | 910137 | Microscope illumination transformer | 1 | as is where is | immediately | ||
83864 | Zeiss | 990634-5100 | Joystick, Carl Zeiss | 2 | as is where is | immediately | ||
83865 | Zeiss | 477473-0207 | Cover plate | 5 | as is where is | immediately | ||
83866 | Zeiss | 475690-0206 | Joint plate | 6 | as is where is | immediately | ||
102559 | ZEISS | Axiotron 300 | AOI microscope with 2 units of Brooks load port | 300 mm | 1 | as is where is | immediately | |
108972 | Zeiss | Axiospect | Wafer Inspection Microscope | 300 mm | 01.06.2011 | 1 | as is where is | immediately |
108216 | ZEISS | AXIOTRON | Inspection Micro Scope | 1 | as is where is | |||
108217 | ZEISS | AXIOTRON | Inspection Micro Scope | 1 | as is where is | |||
108218 | ZEISS | AXIOTRON | High Perfomance Micro Scope | 01.06.2008 | 1 | as is where is | ||
83939 | Zeiss | Stage part | moveable x,y stage part | 1 | as is where is | immediately |