The following are the items available for sale related to at SDI fabsurplus.com. To inquire about the equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of items.
SDI ID | Manufacturer | Model | Description | Version | Vintage | Q. ty | Sales Conditions | Lead Time |
---|---|---|---|---|---|---|---|---|
103805 | 1.8 GW | Complete | Silicon Ingot and Wafer Production Plant for Solar Wafers | Solar | 01.05.2012 | 461 | as is where is | immediately |
54859 | 35 MWp | Baccini | Solar Cell Print line for Mono or Poly Crystalline Solar Cells | 156 mm | 01.11.2005 | 1 | as is where is | immediately |
103459 | 6 INCH | Partial | Wafer Fab Line | 150 mm | 01.05.1995 | 1 | as is where is | immediately |
106039 | 6 inch | Complete | MEMS Production Facility for Sale | 4 inch and 6 inch | 01.06.2005 | 1 | as is where is | immediately |
98785 | 60 MWp | Baccini | Solar Cell Print Line for Mono or Poly Crystalline Solar Cells | Solar | 01.05.2008 | 1 | as is where is | immediately |
108645 | 8 inch / 6 inch | Partial | Bumping Wafer Fab Line | 150 mm / 200 mm | 01.06.2005 | 79 | as is where is | immediately |
106952 | ACCENT (Nanometrix, BioRad) | QS2200M | FTIR Spectrometer for Epi, SiN, BPSG & CO Measurement, up to 200mm Wafers | 100-200 MM | 01.11.2000 | 1 | as is where is | immediately |
108942 | Accretech | UF3000 | Prober | 300 mm | 01.05.2005 | 6 | as is where is | immediately |
108221 | Accretech | AD3000T | Dispensers for dicing saws | Parts | 01.06.2015 | 11 | as is where is | |
108222 | Accretech | MHF300L | Manipulator for prober | 200 mm | 01.06.2000 | 1 | as is where is | |
108223 | Accretech | UF200 | Fully Automatic Prober | 200 mm | 01.06.1999 | 1 | as is where is | |
108224 | Accretech | UF200 | Fully Automatic Prober | 200 mm | 01.06.1999 | 1 | as is where is | |
108225 | Accretech | UF200 | Fully Automatic Prober | 200 mm | 01.06.2000 | 1 | as is where is | |
108226 | Accretech | UF200 | Fully Automatic Prober | 200 mm | 01.06.2000 | 1 | as is where is | |
108227 | Accretech | UF200 | Fully Automatic Prober | 200 mm | 01.06.2000 | 1 | as is where is | |
108228 | Accretech | UF2000 | Fully Automatic Prober | 200 mm | 01.06.2006 | 1 | as is where is | |
108229 | Accretech | UF200A | Fully Automatic Prober | 200 mm | 01.06.2004 | 1 | as is where is | |
108230 | Accretech | UF200A | Fully Automatic Prober | 200 mm | 01.06.2005 | 1 | as is where is | |
108232 | Accretech | UF3000EX-e | Fully Automatic Prober | 300 mm | 01.06.2012 | 1 | as is where is | |
95398 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
95399 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
95400 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
95401 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
95402 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
95403 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately |
102843 | Accretech TSK | UF200SA | Automatic Wafer Prober/Probe Station | 200 mm | 1 | as is where is | immediately | |
102844 | Accretech TSK | UF200SA | Automatic Wafer Prober/Probe Station | 200 mm | 1 | as is where is | ||
102845 | Accretech TSK | UF200SA | Automatic Wafer Prober/Probe Station | 200 mm | 1 | as is where is | ||
102846 | Accretech TSK | UF200SA | Automatic Wafer Prober/Probe Station | 200 mm | 1 | as is where is | ||
102847 | Accretech TSK | UF200SA | Automatic Wafer Prober/Probe Station | 200 mm | 1 | as is where is | ||
102848 | Accretech TSK | UF200SA | Automatic Wafer Prober/Probe Station | 200 mm | 1 | as is where is | ||
102849 | Accretech TSK | UF200SA | Automatic Wafer Prober/Probe Station | 200 mm | 1 | as is where is | ||
102850 | Accretech TSK | UF200SA | Automatic Wafer Prober/Probe Station | 200 mm | 1 | as is where is | ||
102851 | Accretech TSK | UF200SA | Automatic Wafer Prober/Probe Station | 200 mm | 1 | as is where is | ||
102852 | Accretech TSK | UF200SA | Automatic Wafer Prober/Probe Station | 200 mm | 1 | as is where is | ||
102853 | Accretech TSK | UF200SA | Automatic Wafer Prober/Probe Station | 200 mm | 1 | as is where is | ||
102854 | Accretech TSK | UF200SA | Automatic Wafer Prober/Probe Station | 200 mm | 1 | as is where is | ||
54226 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 7 | as is where is | immediately |
108332 | Accretech/TSK | FP3000 | Wafer Frame Prober | 300 mm | 8 | as is where is | ||
108333 | Accretech/TSK | UF3000 | Production Wafer Prober | 300 mm | 7 | as is where is | ||
108334 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300 mm | 1 | as is where is | ||
108335 | Accretech/TSK | UF3000EX-e | Production Wafer Prober | 300 mm | 1 | as is where is | ||
80264 | ACOPIAN | B24G210 | POWER SUPPLY | spares | 1 | as is where is | immediately | |
103225 | ADE | NanoMapper FA | Nano-Defects Inspection System | 300 mm | 1 | inquire | immediately | |
4247 | ADE | 020986-10 | 259.82 uM thickness standard | Spares | 1 | as is where is | immediately | |
4249 | ADE | 020986-14 | 360.01 uM thickness standard | Spares | 1 | as is where is | immediately | |
108791 | ADE | 5810 | Non-Contact Capacitance Gauging Module with 2ea ADE 2248 Probes | 1 | inquire | |||
108792 | ADE | 6033 | Wafer Thickness Tester | 1 | inquire | |||
108793 | ADE | 6033T | Wafer Thickness Tester | 1 | inquire | |||
108615 | ADIXEN | ADS501 | Vacuum Pump | PUMP | 1 | as is where is | ||
108616 | ADIXEN | ADS1202P | Vacuum Pump | PUMP | 5 | as is where is | ||
108617 | ADIXEN | ADS1202H | Vacuum Pump | PUMP | 26 | as is where is | ||
108618 | ADIXEN | ADP122LM | Vacuum Pump | PUMP | 2 | as is where is | ||
108619 | ADIXEN | A101L | Vacuum Pump | PUMP | 40 | as is where is | ||
108547 | Adixen / Alcatel / Pfeiffer | ADS602H | Vacuum Pumps | PUMP | 15 | as is where is | immediately | |
98706 | Adixen Alcatel | ADS 602H | Dry Vacuum pump combo | Pump | 01.05.2008 | 1 | as is where is | immediately |
108794 | ADT | Automatic Dicing Saw with Post Dice Wash Station | ASSEMBLY | 1 | inquire | |||
107029 | Adtec | AMV Controller | Miscellaneous | Spares | 1 | as is where is | ||
107030 | Adtec | AMV Controller | Miscellaneous | Spares | 1 | as is where is | ||
107031 | Adtec | AX-100 MF | RF Generator | Spares | 1 | as is where is | ||
107032 | Adtec | AX-100 MF | RF Generator | Spares | 1 | as is where is | ||
107033 | Adtec | AX-100 MF | RF Generator | Spares | 1 | as is where is | ||
107034 | Adtec | AX-100 MF | RF Generator | Spares | 1 | as is where is | ||
107035 | Adtec | AX-3000 MF | RF Generator | Spares | 1 | as is where is | ||
107036 | Adtec | AX-3000 P | RF Generator | Spares | 1 | as is where is | ||
107064 | Advanced Energy | AZX 63 Controller HTCM | Miscellaneous | Spares | 1 | as is where is | immediately | |
107075 | Advanced Energy | Cesar 1312 | RF Generator | Spares | 1 | as is where is | immediately | |
107108 | Advanced Energy | Hilight 133 | RF Generator | Spares | 1 | as is where is | immediately | |
107114 | Advanced Energy | LF-5 | RF Generator | Spares | 1 | as is where is | immediately | |
107168 | Advanced Energy | PDX 2500 | RF Generator | Spares | 1 | as is where is | immediately | |
107176 | Advanced Energy | Pinnacle 10k | RF Generator | Spares | 1 | as is where is | ||
108719 | ADVANCED ENERGY | MDX | Magnetron Drive p/n 2011-000-D | spares | 1 | as is where is | immediately | |
107209 | Advanced Energy | Pinnacle Plus 5k | RF Generator | Spares | 1 | as is where is | immediately | |
107246 | Advanced Energy | RFX 600A | RF Generator | Spares | 1 | as is where is | immediately | |
107264 | Advanced Energy (AE) | RFX II 3000 | RF Generator | Spares | 1 | as is where is | ||
107265 | Advanced Energy (AE) | RFX II Controller | Miscellaneous | Spares | 1 | as is where is | ||
107266 | Advanced Energy (AE) | Sparc-le 20 | Power Supply | Spares | 1 | as is where is | ||
107267 | Advanced Energy (AE) | Sparc-le V | RF Generator | Spares | 1 | as is where is | ||
107268 | Advanced Energy (AE) | Sparc-le V | RF Generator | Spares | 1 | as is where is | ||
107269 | Advanced Energy (AE) | Sparc-le-V | RF Generator | Spares | 1 | as is where is | ||
107270 | Advanced Energy (AE) | TCM Controller | Miscellaneous | Spares | 1 | as is where is | ||
107271 | Advanced Energy (AE) | Verteq | RF Generator | Spares | 1 | as is where is | ||
107272 | Advanced Energy (AE) | Verteq | RF Generator | Spares | 1 | as is where is | ||
107273 | Advanced Energy (AE) | Verteq | RF Generator | Spares | 1 | as is where is | ||
107274 | Advanced Energy (AE) | VHF2060 | RF Generator | Spares | 1 | as is where is | ||
107275 | Advanced Energy (AE) | VHF2060 | RF Generator | Spares | 1 | as is where is | ||
107276 | Advanced Energy (AE) | VHF2060 | RF Generator | Spares | 1 | as is where is | ||
107277 | Advanced Energy (AE) | VM 1000 A | RF Match | Spares | 1 | as is where is | ||
107278 | Advanced Energy (AE) | Z Scan | Miscellaneous | Spares | 1 | as is where is | ||
107037 | Advanced Energy (AE) | AM-20 | RF Match | Spares | 1 | as is where is | ||
107038 | Advanced Energy (AE) | AMNPS-2A Controller | Miscellaneous | Spares | 1 | as is where is | ||
107039 | Advanced Energy (AE) | AMNPS-2A Controller | Miscellaneous | Spares | 1 | as is where is | ||
107040 | Advanced Energy (AE) | Analog Control Fixture | Miscellaneous | Spares | 1 | as is where is | ||
107041 | Advanced Energy (AE) | Analog Control Fixture | Miscellaneous | Spares | 1 | as is where is | ||
107042 | Advanced Energy (AE) | Analog Control Fixture | Miscellaneous | Spares | 1 | as is where is | ||
107043 | Advanced Energy (AE) | Analog Control Fixture | Miscellaneous | Spares | 1 | as is where is | ||
107044 | Advanced Energy (AE) | Apex 1513 | RF Generator | Spares | 1 | as is where is | ||
107045 | Advanced Energy (AE) | Apex 1513 | RF Generator | Spares | 1 | as is where is | ||
107046 | Advanced Energy (AE) | Apex 1513 | RF Generator | Spares | 1 | as is where is | ||
107047 | Advanced Energy (AE) | Apex 1513 | RF Generator | Spares | 1 | as is where is | ||
107048 | Advanced Energy (AE) | Apex 1513 | RF Generator | Spares | 1 | as is where is | ||
107049 | Advanced Energy (AE) | Apex 3013 | RF Generator | Spares | 1 | as is where is | ||
107050 | Advanced Energy (AE) | Apex 3013 | RF Generator | Spares | 1 | as is where is | ||
107051 | Advanced Energy (AE) | Apex 5513 | RF Generator | Spares | 1 | as is where is | ||
107052 | Advanced Energy (AE) | Aspect 2513 | RF Generator | Spares | 1 | as is where is | ||
107053 | Advanced Energy (AE) | Aspect 2513 | RF Generator | Spares | 1 | as is where is | ||
107054 | Advanced Energy (AE) | Aspect 5013 | RF Generator | Spares | 1 | as is where is | ||
107055 | Advanced Energy (AE) | ATN Match | RF Match | Spares | 1 | as is where is | ||
107056 | Advanced Energy (AE) | ATX 600 | RF Match | Spares | 1 | as is where is | ||
107057 | Advanced Energy (AE) | ATX 600 | RF Match | Spares | 1 | as is where is | ||
107058 | Advanced Energy (AE) | ATX 600 | RF Match | Spares | 1 | as is where is | ||
107059 | Advanced Energy (AE) | ATX 600 | RF Match | Spares | 1 | as is where is | ||
107060 | Advanced Energy (AE) | ATX 600 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107061 | Advanced Energy (AE) | ATX 600 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107062 | Advanced Energy (AE) | AZX 10 | RF Match | Spares | 1 | as is where is | ||
107063 | Advanced Energy (AE) | AZX 10 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107065 | Advanced Energy (AE) | AZX 63 Controller HTCM | Miscellaneous | Spares | 1 | as is where is | ||
107066 | Advanced Energy (AE) | AZX Box Module | RF Match | Spares | 1 | as is where is | ||
107067 | Advanced Energy (AE) | AZX Controller | Miscellaneous | Spares | 1 | as is where is | ||
107068 | Advanced Energy (AE) | AZX Controller | Miscellaneous | Spares | 1 | as is where is | ||
107069 | Advanced Energy (AE) | AZX Controller | Miscellaneous | Spares | 1 | as is where is | ||
107070 | Advanced Energy (AE) | AZX Controller | Miscellaneous | Spares | 1 | as is where is | ||
107071 | Advanced Energy (AE) | Cesar | RF Generator | Spares | 1 | as is where is | ||
107072 | Advanced Energy (AE) | Cesar 1310 | RF Generator | Spares | 1 | as is where is | ||
107073 | Advanced Energy (AE) | Cesar 1310 | RF Generator | Spares | 1 | as is where is | ||
107074 | Advanced Energy (AE) | Cesar 1312 | RF Generator | Spares | 1 | as is where is | ||
107076 | Advanced Energy (AE) | Cesar 136 | RF Generator | Spares | 1 | as is where is | ||
107077 | Advanced Energy (AE) | Cesar 136 | RF Generator | Spares | 1 | as is where is | ||
107078 | Advanced Energy (AE) | Cesar 2710 | RF Generator | Spares | 1 | as is where is | ||
107079 | Advanced Energy (AE) | Cesar 2720 | RF Generator | Spares | 1 | as is where is | ||
107080 | Advanced Energy (AE) | Cesar 2720 | RF Generator | Spares | 1 | as is where is | ||
107081 | Advanced Energy (AE) | Cesar 2720 | RF Generator | Spares | 1 | as is where is | ||
107082 | Advanced Energy (AE) | Controller | Miscellaneous | Spares | 1 | as is where is | ||
107083 | Advanced Energy (AE) | Counter/Display | RF Match | Spares | 1 | as is where is | ||
107084 | Advanced Energy (AE) | Dome Match | RF Match | Spares | 1 | as is where is | ||
107085 | Advanced Energy (AE) | Dome Match | RF Match | Spares | 1 | as is where is | ||
107086 | Advanced Energy (AE) | Dome Match | RF Match | Spares | 1 | as is where is | ||
107087 | Advanced Energy (AE) | Dome Match | RF Match | Spares | 1 | as is where is | ||
107088 | Advanced Energy (AE) | Dome Match | RF Match | Spares | 1 | as is where is | ||
107089 | Advanced Energy (AE) | Fixed Match | RF Match | Spares | 1 | as is where is | ||
107090 | Advanced Energy (AE) | Fixed Match | RF Match | Spares | 1 | as is where is | ||
107091 | Advanced Energy (AE) | Gencal Power Meter | Miscellaneous | Spares | 1 | as is where is | ||
107092 | Advanced Energy (AE) | Gencal Power Meter | Miscellaneous | Spares | 1 | as is where is | ||
107093 | Advanced Energy (AE) | Gencal Power Meter | Miscellaneous | Spares | 1 | as is where is | ||
107094 | Advanced Energy (AE) | Gencal Power Meter | Miscellaneous | Spares | 1 | as is where is | ||
107095 | Advanced Energy (AE) | Gencal Power Meter | Miscellaneous | Spares | 1 | as is where is | ||
107096 | Advanced Energy (AE) | Gencal Power Meter | Miscellaneous | Spares | 1 | as is where is | ||
107097 | Advanced Energy (AE) | GenCal Power Sensor | Miscellaneous | Spares | 1 | as is where is | ||
107098 | Advanced Energy (AE) | GenCal Power Sensor | Miscellaneous | Spares | 1 | as is where is | ||
107099 | Advanced Energy (AE) | GenCal Power Sensor | Miscellaneous | Spares | 1 | as is where is | ||
107100 | Advanced Energy (AE) | HFG 5000 | RF Generator | Spares | 1 | as is where is | ||
107101 | Advanced Energy (AE) | HFV 8000 | RF Generator | Spares | 1 | as is where is | ||
107102 | Advanced Energy (AE) | HFV 8000 | RF Generator | Spares | 1 | as is where is | ||
107103 | Advanced Energy (AE) | HFV 8000 | RF Generator | Spares | 1 | as is where is | ||
107104 | Advanced Energy (AE) | HFV 8000 | RF Generator | Spares | 1 | as is where is | ||
107105 | Advanced Energy (AE) | Hilight 133 | RF Generator | Spares | 1 | as is where is | ||
107106 | Advanced Energy (AE) | Hilight 133 | RF Generator | Spares | 1 | as is where is | ||
107107 | Advanced Energy (AE) | Hilight 133 | RF Generator | Spares | 1 | as is where is | ||
107109 | Advanced Energy (AE) | Hilight 136 | RF Generator | Spares | 1 | as is where is | ||
107110 | Advanced Energy (AE) | Hilight 136 | RF Generator | Spares | 1 | as is where is | ||
107111 | Advanced Energy (AE) | Integro 136 | RF Generator | Spares | 1 | as is where is | ||
107112 | Advanced Energy (AE) | ION Source Supply | RF Generator | Spares | 1 | as is where is | ||
107113 | Advanced Energy (AE) | LF-5 | RF Generator | Spares | 1 | as is where is | ||
107115 | Advanced Energy (AE) | LF-5 | RF Generator | Spares | 1 | as is where is | ||
107116 | Advanced Energy (AE) | LF-5 | RF Generator | Spares | 1 | as is where is | ||
107117 | Advanced Energy (AE) | LF-5 | RF Generator | Spares | 1 | as is where is | ||
107118 | Advanced Energy (AE) | LF-5 | RF Generator | Spares | 1 | as is where is | ||
107119 | Advanced Energy (AE) | MDX 1.5 | RF Generator | Spares | 1 | as is where is | ||
107120 | Advanced Energy (AE) | MDX 1.5K | RF Generator | Spares | 1 | as is where is | ||
107121 | Advanced Energy (AE) | MDX 1.5K | RF Generator | Spares | 1 | as is where is | ||
107122 | Advanced Energy (AE) | MDX 10K | RF Generator | Spares | 1 | as is where is | ||
107123 | Advanced Energy (AE) | MDX Controller | Miscellaneous | Spares | 1 | as is where is | ||
107124 | Advanced Energy (AE) | MDX DELTA SLAVE | RF Generator | Spares | 1 | as is where is | ||
107125 | Advanced Energy (AE) | MDX II Calibration Box | Miscellaneous | Spares | 1 | as is where is | ||
107126 | Advanced Energy (AE) | MDX Magnetron | RF Generator | Spares | 1 | as is where is | ||
107127 | Advanced Energy (AE) | MDX Magnetron Drive | RF Generator | Spares | 1 | as is where is | ||
107128 | Advanced Energy (AE) | MDX Master | RF Generator | Spares | 1 | as is where is | ||
107129 | Advanced Energy (AE) | MDX Slave | RF Generator | Spares | 1 | as is where is | ||
107130 | Advanced Energy (AE) | MDX-10K Master | RF Generator | Spares | 1 | as is where is | ||
107131 | Advanced Energy (AE) | MDX-5K | RF Generator | Spares | 1 | as is where is | ||
107132 | Advanced Energy (AE) | MDX-5K | RF Generator | Spares | 1 | as is where is | ||
107133 | Advanced Energy (AE) | MDX-5K | RF Generator | Spares | 1 | as is where is | ||
107134 | Advanced Energy (AE) | MDX-L Diagnostic Controller | Miscellaneous | Spares | 1 | as is where is | ||
107135 | Advanced Energy (AE) | MDX-L Diagnostic Controller | Miscellaneous | Spares | 1 | as is where is | ||
107136 | Advanced Energy (AE) | MDX-L Diagnostic Controller | Miscellaneous | Spares | 1 | as is where is | ||
107137 | Advanced Energy (AE) | MDX-L12 | RF Generator | Spares | 1 | as is where is | ||
107138 | Advanced Energy (AE) | Mercury 10013 | RF Match | Spares | 1 | as is where is | ||
107139 | Advanced Energy (AE) | Mercury 10013 | RF Match | Spares | 1 | as is where is | ||
107140 | Advanced Energy (AE) | Mercury 10013 | RF Match | Spares | 1 | as is where is | ||
107141 | Advanced Energy (AE) | Mercury 10013 | RF Match | Spares | 1 | as is where is | ||
107142 | Advanced Energy (AE) | Mercury d2 | RF Match | Spares | 1 | as is where is | ||
107143 | Advanced Energy (AE) | Mini-Panel | Miscellaneous | Spares | 1 | as is where is | ||
107144 | Advanced Energy (AE) | Mini-Panel | Miscellaneous | Spares | 1 | as is where is | ||
107145 | Advanced Energy (AE) | Navigator | RF Match | Spares | 1 | as is where is | ||
107146 | Advanced Energy (AE) | Navigator 10013-L80 | RF Match | Spares | 1 | as is where is | ||
107147 | Advanced Energy (AE) | Navigator Match | RF Match | Spares | 1 | as is where is | ||
107148 | Advanced Energy (AE) | Navigator Match | RF Match | Spares | 1 | as is where is | ||
107149 | Advanced Energy (AE) | Ovation 2060 | RF Generator | Spares | 1 | as is where is | ||
107150 | Advanced Energy (AE) | Ovation 2760 | RF Generator | Spares | 1 | as is where is | ||
107151 | Advanced Energy (AE) | Ovation 35162 | RF Generator | Spares | 1 | as is where is | ||
107152 | Advanced Energy (AE) | Ovation 35162 | RF Generator | Spares | 1 | as is where is | ||
107153 | Advanced Energy (AE) | Ovation 35162 | RF Generator | Spares | 1 | as is where is | ||
107154 | Advanced Energy (AE) | Paramount 3013 | RF Generator | Spares | 1 | as is where is | ||
107155 | Advanced Energy (AE) | PDP 2500 | RF Generator | Spares | 1 | as is where is | ||
107156 | Advanced Energy (AE) | PDW 600 | RF Generator | Spares | 1 | as is where is | ||
107157 | Advanced Energy (AE) | PDW 600 | RF Generator | Spares | 1 | as is where is | ||
107158 | Advanced Energy (AE) | PDW Controller | Miscellaneous | Spares | 1 | as is where is | ||
107159 | Advanced Energy (AE) | PDW Controller | Miscellaneous | Spares | 1 | as is where is | ||
107160 | Advanced Energy (AE) | PDW Controller | Miscellaneous | Spares | 1 | as is where is | ||
107161 | Advanced Energy (AE) | PDX 2500 | RF Generator | Spares | 1 | as is where is | ||
107162 | Advanced Energy (AE) | PDX 2500 | RF Generator | Spares | 1 | as is where is | ||
107163 | Advanced Energy (AE) | PDX 2500 | RF Generator | Spares | 1 | as is where is | ||
107164 | Advanced Energy (AE) | PDX 2500 | RF Generator | Spares | 1 | as is where is | ||
107165 | Advanced Energy (AE) | PDX 2500 | RF Generator | Spares | 1 | as is where is | ||
107166 | Advanced Energy (AE) | PDX 2500 | RF Generator | Spares | 1 | as is where is | ||
107167 | Advanced Energy (AE) | PDX 2500 | RF Generator | Spares | 1 | as is where is | ||
107169 | Advanced Energy (AE) | PDX 2500 | RF Generator | Spares | 1 | as is where is | ||
107170 | Advanced Energy (AE) | PDX II PLASMA DRIVE 2000 | RF Generator | Spares | 1 | as is where is | ||
107171 | Advanced Energy (AE) | PE-1000 | RF Generator | Spares | 1 | as is where is | ||
107172 | Advanced Energy (AE) | PE-2500 | RF Generator | Spares | 1 | as is where is | ||
107173 | Advanced Energy (AE) | PE-2500 | RF Generator | Spares | 1 | as is where is | ||
107174 | Advanced Energy (AE) | PE-2500 | RF Generator | Spares | 1 | as is where is | ||
107175 | Advanced Energy (AE) | PEII 5K | RF Generator | Spares | 1 | as is where is | ||
107177 | Advanced Energy (AE) | Pinnacle 10k | RF Generator | Spares | 1 | as is where is | ||
107178 | Advanced Energy (AE) | Pinnacle 10k | RF Generator | Spares | 1 | as is where is | ||
107179 | Advanced Energy (AE) | Pinnacle 10k | RF Generator | Spares | 1 | as is where is | ||
107180 | Advanced Energy (AE) | Pinnacle 10K | RF Generator | Spares | 1 | as is where is | ||
107181 | Advanced Energy (AE) | Pinnacle 10K | RF Generator | Spares | 1 | as is where is | ||
107182 | Advanced Energy (AE) | Pinnacle 10K | RF Generator | Spares | 1 | as is where is | ||
107183 | Advanced Energy (AE) | Pinnacle 10K | RF Generator | Spares | 1 | as is where is | ||
107184 | Advanced Energy (AE) | Pinnacle 10K | RF Generator | Spares | 1 | as is where is | ||
107185 | Advanced Energy (AE) | Pinnacle 10K | RF Generator | Spares | 1 | as is where is | ||
107186 | Advanced Energy (AE) | Pinnacle 10K | RF Generator | Spares | 1 | as is where is | ||
107187 | Advanced Energy (AE) | Pinnacle 15k | RF Generator | Spares | 1 | as is where is | ||
107188 | Advanced Energy (AE) | Pinnacle 15k | RF Generator | Spares | 1 | as is where is | ||
107189 | Advanced Energy (AE) | Pinnacle 20k | RF Generator | Spares | 1 | as is where is | ||
107190 | Advanced Energy (AE) | Pinnacle 20k | RF Generator | Spares | 1 | as is where is | ||
107191 | Advanced Energy (AE) | Pinnacle 20k | RF Generator | Spares | 1 | as is where is | ||
107192 | Advanced Energy (AE) | Pinnacle 20k | RF Generator | Spares | 1 | as is where is | ||
107193 | Advanced Energy (AE) | Pinnacle 20k | RF Generator | Spares | 1 | as is where is | ||
107194 | Advanced Energy (AE) | Pinnacle 20k | RF Generator | Spares | 1 | as is where is | ||
107195 | Advanced Energy (AE) | Pinnacle 6k | RF Generator | Spares | 1 | as is where is | ||
107196 | Advanced Energy (AE) | Pinnacle 6k | RF Generator | Spares | 1 | as is where is | ||
107197 | Advanced Energy (AE) | Pinnacle 6k | RF Generator | Spares | 1 | as is where is | ||
107198 | Advanced Energy (AE) | Pinnacle 6k | RF Generator | Spares | 1 | as is where is | ||
107199 | Advanced Energy (AE) | Pinnacle Controller | Miscellaneous | Spares | 1 | as is where is | ||
107200 | Advanced Energy (AE) | Pinnacle Controller | Miscellaneous | Spares | 1 | as is where is | ||
107201 | Advanced Energy (AE) | Pinnacle Controller | Miscellaneous | Spares | 1 | as is where is | ||
107202 | Advanced Energy (AE) | Pinnacle Controller | Miscellaneous | Spares | 1 | as is where is | ||
107203 | Advanced Energy (AE) | Pinnacle Controller | Miscellaneous | Spares | 1 | as is where is | ||
107204 | Advanced Energy (AE) | Pinnacle Dual 6k | RF Generator | Spares | 1 | as is where is | ||
107205 | Advanced Energy (AE) | Pinnacle Plus 10k | RF Generator | Spares | 1 | as is where is | ||
107206 | Advanced Energy (AE) | Pinnacle Plus 10k | RF Generator | Spares | 1 | as is where is | ||
107207 | Advanced Energy (AE) | Pinnacle Plus 10k | RF Generator | Spares | 1 | as is where is | ||
107208 | Advanced Energy (AE) | Pinnacle Plus 10k | RF Generator | Spares | 1 | as is where is | ||
107210 | Advanced Energy (AE) | PMH 13/1250 | Miscellaneous | Spares | 1 | as is where is | ||
107211 | Advanced Energy (AE) | PMH 400/2200 | Miscellaneous | Spares | 1 | as is where is | ||
107212 | Advanced Energy (AE) | PMH 400/2200 | Miscellaneous | Spares | 1 | as is where is | ||
107213 | Advanced Energy (AE) | PMH 400/2200 | Miscellaneous | Spares | 1 | as is where is | ||
107214 | Advanced Energy (AE) | PMH 400/2200 | Miscellaneous | Spares | 1 | as is where is | ||
107215 | Advanced Energy (AE) | PMH 400/2200 | Miscellaneous | Spares | 1 | as is where is | ||
107216 | Advanced Energy (AE) | PMH13/3000 | Miscellaneous | Spares | 1 | as is where is | ||
107217 | Advanced Energy (AE) | PMH13/3000 | Miscellaneous | Spares | 1 | as is where is | ||
107218 | Advanced Energy (AE) | PMH13/3000 | Miscellaneous | Spares | 1 | as is where is | ||
107219 | Advanced Energy (AE) | Power Sensor | Miscellaneous | Spares | 1 | as is where is | ||
107220 | Advanced Energy (AE) | RF-20 | RF Generator | Spares | 1 | as is where is | ||
107221 | Advanced Energy (AE) | RF-30P | RF Generator | Spares | 1 | as is where is | ||
107222 | Advanced Energy (AE) | RF-30S | RF Generator | Spares | 1 | as is where is | ||
107223 | Advanced Energy (AE) | RF-30S | RF Generator | Spares | 1 | as is where is | ||
107224 | Advanced Energy (AE) | RF-5S | RF Generator | Spares | 1 | as is where is | ||
107225 | Advanced Energy (AE) | RF-5S | RF Generator | Spares | 1 | as is where is | ||
107226 | Advanced Energy (AE) | RF-5S | RF Generator | Spares | 1 | as is where is | ||
107227 | Advanced Energy (AE) | RF-5S | RF Generator | Spares | 1 | as is where is | ||
107228 | Advanced Energy (AE) | RFG 3000 | RF Generator | Spares | 1 | as is where is | ||
107229 | Advanced Energy (AE) | RFG 3000 | RF Generator | Spares | 1 | as is where is | ||
107230 | Advanced Energy (AE) | RFG 3000 | RF Generator | Spares | 1 | as is where is | ||
107231 | Advanced Energy (AE) | RFG 3000 | RF Generator | Spares | 1 | as is where is | ||
107232 | Advanced Energy (AE) | RFX 600 | RF Generator | Spares | 1 | as is where is | ||
107233 | Advanced Energy (AE) | RFX 600 | RF Generator | Spares | 1 | as is where is | ||
107234 | Advanced Energy (AE) | RFX 600 | RF Generator | Spares | 1 | as is where is | ||
107235 | Advanced Energy (AE) | RFX 600 | RF Generator | Spares | 1 | as is where is | ||
107236 | Advanced Energy (AE) | RFX 600 | RF Generator | Spares | 1 | as is where is | ||
107237 | Advanced Energy (AE) | RFX 600 | RF Generator | Spares | 1 | as is where is | ||
107238 | Advanced Energy (AE) | RFX 600 | RF Generator | Spares | 1 | as is where is | ||
107239 | Advanced Energy (AE) | RFX 600 | RF Generator | Spares | 1 | as is where is | ||
107240 | Advanced Energy (AE) | RFX 600 | RF Generator | Spares | 1 | as is where is | ||
107241 | Advanced Energy (AE) | RFX 600 | RF Generator | Spares | 1 | as is where is | ||
107242 | Advanced Energy (AE) | RFX 600 | RF Generator | Spares | 1 | as is where is | ||
107243 | Advanced Energy (AE) | RFX 600 | RF Generator | Spares | 1 | as is where is | ||
107244 | Advanced Energy (AE) | RFX 600 | RF Generator | Spares | 1 | as is where is | ||
107245 | Advanced Energy (AE) | RFX 600A | RF Generator | Spares | 1 | as is where is | ||
107247 | Advanced Energy (AE) | RFX 600A | RF Generator | Spares | 1 | as is where is | ||
107248 | Advanced Energy (AE) | RFX 600A | RF Generator | Spares | 1 | as is where is | ||
107249 | Advanced Energy (AE) | RFX 600A | RF Generator | Spares | 1 | as is where is | ||
107250 | Advanced Energy (AE) | RFX 600A | RF Generator | Spares | 1 | as is where is | ||
107251 | Advanced Energy (AE) | RFX 600A | RF Generator | Spares | 1 | as is where is | ||
107252 | Advanced Energy (AE) | RFX 600A | RF Generator | Spares | 1 | as is where is | ||
107253 | Advanced Energy (AE) | RFX 600A | RF Generator | Spares | 1 | as is where is | ||
107254 | Advanced Energy (AE) | RFX 600A | RF Generator | Spares | 1 | as is where is | ||
107255 | Advanced Energy (AE) | RFX 600A | RF Generator | Spares | 1 | as is where is | ||
107256 | Advanced Energy (AE) | RFX II 1250 | RF Generator | Spares | 1 | as is where is | ||
107257 | Advanced Energy (AE) | RFX II 1250 | RF Generator | Spares | 1 | as is where is | ||
107258 | Advanced Energy (AE) | RFX II 3000 | RF Generator | Spares | 1 | as is where is | ||
107259 | Advanced Energy (AE) | RFX II 3000 | RF Generator | Spares | 1 | as is where is | ||
107260 | Advanced Energy (AE) | RFX II 3000 | RF Generator | Spares | 1 | as is where is | ||
107261 | Advanced Energy (AE) | RFX II 3000 | RF Generator | Spares | 1 | as is where is | ||
107262 | Advanced Energy (AE) | RFX II 3000 | RF Generator | Spares | 1 | as is where is | ||
107263 | Advanced Energy (AE) | RFX II 3000 | RF Generator | Spares | 1 | as is where is | ||
77166 | Advantech | IPC5475 | data acquisition card | Spares | 3 | as is where is | immediately | |
77167 | Advantech | HK-6323 | data acquisition card ISA | Spares | 2 | as is where is | immediately | |
77206 | Advantech | PCL-745B | data acquisition card 2-port RS422/485 Rev B1 | Spares | 1 | as is where is | immediately | |
77207 | Advantech | PCL-730 | data acquisition card 32ch TTL DIO | Spares | 1 | as is where is | immediately | |
74142 | Advantech | PCL-730 | 32-ch Isolated Digital I/O Card w/32ch TTL DIO | 31.12.2007 | 2 | as is where is | ||
74143 | Advantech | PCL-745B | 2-port RS-422/485 ISA COMM Card w/ isolation | 31.12.2007 | 2 | as is where is | ||
108548 | ADVANTEST | M6751AD | Test Handler | 200 MM | 1 | as is where is | ||
108549 | ADVANTEST | T5382A1 | Test System | TEST | 1 | as is where is | immediately | |
108550 | ADVANTEST | T5585 | Test System | 200 mm | 1 | as is where is | immediately | |
108551 | ADVANTEST | M6541AD | Test Handler | 200 mm | 1 | as is where is | ||
108552 | ADVANTEST | M6541AD | Test Handler | 200 mm | 01.12.2000 | 1 | as is where is | immediately |
108553 | ADVANTEST | M6541AD | Test Handler | 200 mm | 1 | as is where is | immediately | |
108554 | ADVANTEST | M6541AD | Test Handler | 200 mm | 1 | as is where is | ||
108043 | Advantest | M6541AD | TEST HANDLER | TEST | 7 | as is where is | ||
108044 | Advantest | M6542AD | TEST HANDLER | TEST | 1 | as is where is | ||
108045 | Advantest | M6771AD | TEST HANDLER | TEST | 1 | as is where is | ||
108046 | Advantest | T2000LS | Automated test equipment | TEST | 12 | as is where is | ||
108047 | Advantest | T3347A | Automated test equipment | TEST | 3 | as is where is | ||
108048 | Advantest | T5371 | Automated test equipment | TEST | 5 | as is where is | ||
108049 | Advantest | T5581H | Automated test equipment | TEST | 1 | as is where is | ||
108050 | Advantest | T5585 | Automated test equipment | TEST | 1 | as is where is | ||
108051 | Advantest | T5592 | Automated test equipment | TEST | 1 | as is where is | ||
108052 | Advantest | T6575 | Automated test equipment | TEST | 1 | as is where is | ||
108057 | Advantest | T5381ES | ATE Tester | TEST | 3 | as is where is | ||
94490 | Advantest | T5375 | Automated Test Equipment | TEST | 2 | as is where is | immediately | |
108058 | Advantest | T5585 | ATE Tester | TEST | 1 | as is where is | ||
83498 | Advantest | BGR-016797 | ADVANTEST T5335P PC Board (was 80207) | 6 | as is where is | immediately | ||
83499 | Advantest | BGR-016796 | ADVANTEST T5335P PC Board | Spares | 5 | as is where is | immediately | |
83500 | Advantest | BGR-021096 | ADVANTEST T5335P PC Board | Spares | 3 | as is where is | immediately | |
100652 | Advantest | M7211A | Handler | TEST | 1 | as is where is | ||
83501 | Advantest | BGR-020765 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
83502 | Advantest | BGR-017418 | ADVANTEST T5335P PC Board HV PPS | Spares | 2 | as is where is | immediately | |
78639 | Advantest | T5335P (Spares) | Spare Boards from test system (See attached list for details) | TEST | 01.11.1997 | 1 | as is where is | immediately |
83503 | Advantest | BGK-017719 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
83504 | Advantest | BGK-011702 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
108336 | Advantest | T5585 | Memory Tester | TEST | 4 | as is where is | ||
99379 | ADVANTEST | T5375 | Automated Test Equipment | TEST | 1 | as is where is | immediately | |
99380 | ADVANTEST | T5771ES | Automated Test Equipment | TEST | 1 | as is where is | immediately | |
89909 | Advantest | Hifix for PQFP80 (14 x 20) | Hi-fix for Advantest T5371 package type PQFP80 (14 x 20) | Spares | 31.05.2005 | 1 | as is where is | immediately |
76604 | ADVANTEST | TR6846 | Digital Multimeter | 200 mm | 1 | as is where is | immediately | |
100668 | Advantest | V3300 | Memory Tester | TEST | 1 | as is where is | ||
99391 | Advantest | V6000e | Automated Test Equipment - engineering workstation | TEST | 1 | as is where is | immediately | |
80194 | Advantest | BGR-020773 | ADVANTEST T5335P PC Board | Spares | 4 | as is where is | immediately | |
80195 | Advantest | BGR-020853 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | |
80196 | Advantest | BGR-020816X02 | ADVANTEST T5335P PC Board | 2 | as is where is | immediately | ||
80197 | Advantest | BGR-020774 rev x2 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | |
80198 | Advantest | BGR-020814 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | |
80199 | Advantest | BGR-020771 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | |
80200 | Advantest | BGR-020509 | ADVANTEST T5335P PC Board | 1 | as is where is | |||
80201 | Advantest | BGR-020772 | ADVANTEST T5335P PC Board | 1 | as is where is | |||
80202 | Advantest | BGR-017577 | ADVANTEST T5335P PC Board BGR-017575 | Spares | 2 | as is where is | ||
106570 | ADVANTEST | T5371 | Memory Tester | TEST | 01.06.2000 | 1 | as is where is | |
80203 | Advantest | BGR-019486 | ADVANTEST T5335P PC Board | Spares | 11 | as is where is | immediately | |
106571 | ADVANTEST | T5371 | Memory Tester | TEST | 01.06.2000 | 1 | as is where is | |
80204 | Advantest | BGR-020851 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | |
106572 | ADVANTEST | T5585 | Memory Tester | TEST | 01.06.2001 | 1 | as is where is | |
80205 | Advantest | BGR-017578 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
106573 | ADVANTEST | T5585 | Memory Tester | TEST | 1 | as is where is | ||
80206 | Advantest | BGR-017579 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
80207 | Advantest | BGR-016796 | ADVANTEST T5335P PC Board PGR-816796CC3 | Spares | 6 | as is where is | immediately | |
80208 | Advantest | BGR-018931 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
80209 | Advantest | BGR-016794 | PGR-816794CC3 PC Board | Spares | 2 | as is where is | immediately | |
80210 | Advantest | BGR-016793 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | |
83550 | Advantest | WUN-MONITORBOX | ADVANTEST power supply monitoring box, T5335P | 2 | as is where is | immediately | ||
106337 | ADVANTEST | TAS7500TS | Automated Test Equipment | Test | 1 | as is where is | immediately | |
87651 | Advantest | V6000e | Memory Test Engineering Workstation for Office or Laboratory Use | Test | 01.05.2008 | 1 | as is where is | immediately |
87652 | Advantest | T5371 | Test system (With a single test head ) | Test | 1 | as is where is | immediately | |
92006 | Advantest | BGR-016796 | ADVANTEST T5335P PC Board | spares | 1 | as is where is | immediately | |
92007 | Advantest | BGR-018822 | ADVANTEST T5335P PC Board | spares | 1 | as is where is | immediately | |
92008 | Advantest | BGR-017418 | ADVANTEST T5335P PC Board | spares | 1 | as is where is | immediately | |
92009 | Advantest | T5335P | Boards from an Advantest T5335P Test system | spares | 18 | as is where is | immediately | |
102506 | ADVANTEST | T5375-0040 | Tester | 1 | as is where is | immediately | ||
103812 | Advantest | T5335P | Automated Test System | test | 1 | as is where is | immediately | |
80293 | Advantest | BGR-019267 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
80294 | Advantest | BGR-020900 | ADVANTEST T5335P PC Board | Spares | 4 | as is where is | immediately | |
102566 | Advantest | T6573 | Tester | TEST | 2 | inquire | ||
80295 | Advantest | BGR-019266 | ADVANTEST T5335P MRA I/F PC Board | Spares | 1 | as is where is | immediately | |
80296 | Advantest | BGR-017417 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
80297 | Advantest | BGR-018824 Rev X03 | ADVANTEST T5335P PC Board | Spares | 8 | as is where is | immediately | |
80298 | Advantest | BGR-018823 | ADVANTEST T5335P PC Board | Spares | 3 | as is where is | immediately | |
80299 | Advantest | BGR-018822 | ADVANTEST T5335P PC Board PGR-818822BB2 | Spares | 4 | as is where is | immediately | |
80300 | Advantest | BGR-018125 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | |
80301 | Advantest | BGR-020815 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | |
80302 | Advantest | BIR-021807 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | |
108233 | Advantest | M6451AD | TEST HANDLER | TEST | 01.06.2004 | 1 | as is where is | |
108234 | Advantest | M6451AD | TEST HANDLER | TEST | 01.06.2004 | 1 | as is where is | |
108235 | Advantest | M6451AD | TEST HANDLER | TEST | 01.06.2004 | 1 | as is where is | |
108236 | Advantest | M6451AD | TEST HANDLER | TEST | 01.06.2004 | 1 | as is where is | |
108237 | Advantest | M6451AD | TEST HANDLER | TEST | 01.06.2002 | 1 | as is where is | |
108238 | Advantest | M6451AD | TEST HANDLER | TEST | 01.06.2003 | 1 | as is where is | |
108239 | Advantest | M6451AD | TEST HANDLER | TEST | 01.06.2001 | 1 | as is where is | |
108240 | Advantest | M6451AD | TEST HANDLER | TEST | 01.06.2003 | 1 | as is where is | |
108241 | Advantest | M6751AD | TEST HANDLER | TEST | 01.06.2001 | 1 | as is where is | |
108242 | Advantest | T5371 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2001 | 1 | as is where is | |
108243 | Advantest | T5376 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2004 | 1 | as is where is | |
108244 | Advantest | T5376 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2004 | 1 | as is where is | |
108245 | Advantest | T5376 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2004 | 1 | as is where is | |
108246 | Advantest | T5376 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2004 | 1 | as is where is | |
108247 | Advantest | T5376 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2003 | 1 | as is where is | |
108248 | Advantest | T5376 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2002 | 1 | as is where is | |
108249 | Advantest | T5376 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2001 | 1 | as is where is | |
108250 | Advantest | T5376 | AUTOMATED TEST EQUIPMENT | TEST | 01.06.2003 | 1 | as is where is | |
82926 | Advantest | WUN-H90554AIR | ADVANTEST air control unit | 1 | as is where is | immediately | ||
100994 | Advantest Nextest Verigy | Various | Mini-Batch of Automated Test Equipment | TEST | 12 | as is where is | immediately | |
81826 | AEG | 2A 400-100 H | Power Driver Controller 2A | Spares | 31.12.2004 | 1 | as is where is | immediately |
108251 | AET | ACC Taping | AUTOMATIC TAPE AND REEL | ASSEMBLY | 01.06.2006 | 1 | as is where is | |
108252 | AET | ACC Taping | AUTOMATIC TAPE AND REEL | ASSEMBLY | 01.06.2008 | 1 | as is where is | |
108253 | AET | ACC Taping | AUTOMATIC TAPE AND REEL | ASSEMBLY | 01.06.2005 | 1 | as is where is | |
108795 | AET TECHNOLOGIES | Four de Recuit Rapide | RTP Tool | 1 | inquire | |||
108720 | AG Associates | Heatpulse 410 | Rapid Thermal Processor | 1 | as is where is | |||
108019 | AG Associates | Heatpulse 8108 | Rapid Thermal Processing | 200 mm | 01.06.1996 | 1 | as is where is | immediately |
108796 | AG ASSOCIATES | Heatpulse 410 | Benchtop RTP Tool | 1 | inquire | |||
108555 | Agilent | 16702A | LOGIC ANALISYS SYSYEM | TEST | 1 | as is where is | immediately | |
107279 | Agilent | 34401A | Multimeter | Spares | 1 | as is where is | ||
107280 | Agilent | 34401A | Multimeter | Spares | 1 | as is where is | ||
107281 | Agilent | 34401A | Multimeter | Spares | 1 | as is where is | ||
108053 | Agilent | HP4062C | Automated test equipment | TEST | 1 | as is where is | ||
101035 | Agilent | N8241A, ATO‐S4744, 008 062 | Arbitrary Waveform Generator | Spares | 31.03.2008 | 1 | as is where is | immediately |
101036 | Agilent | N8241A, ATO‐S4744, 008 062 | Arbitrary Waveform Generator | Spares | 31.05.2008 | 1 | as is where is | immediately |
18870 | AGILENT | 03577-90212 | AGILENT 3571A network analyzer service manual | 1 | as is where is | |||
53031 | Agilent / HP / Verigy | GPIB IEEE488 Cable | GPIB IEEE488 Cable | spares | 1 | as is where is | immediately | |
108897 | Agilent / Verigy | 4073B | PARAMETRIC TEST SYSTEM | TEST | 01.05.2011 | 1 | as is where is | immediately |
108899 | Agilent / Verigy | 4073A | PARAMETRIC TEST SYSTEM | TEST | 01.05.2001 | 1 | as is where is | |
10544 | Agilent / Verigy / Keysight | 4261A | LCR METER | TEST | 1 | as is where is | immediately | |
76605 | Agilent / Verigy / Keysight | 41501B | SMU and Pulse Generator Expander 2 units | TEST | 01.05.2001 | 1 | as is where is | immediately |
18868 | Agilent / Verigy / Keysight | 5335A | Universal Frequency Counter | Electronics Test and Measurement | 1 | as is where is | immediately | |
18869 | Agilent / Verigy / Keysight | E4915A | Crystal impedance LCR meter | Electronics Test and Measurement | 1 | as is where is | immediately | |
79588 | Agilent / Verigy / Keysight | 1671G | Logic Analyzer | test | 01.09.2007 | 1 | as is where is | immediately |
79589 | Agilent / Verigy / Keysight | 1671G | Logic Analyzer | test | 30.09.2008 | 1 | as is where is | immediately |
107282 | AGL Inc | Microwave Generator | RF Generator | Spares | 1 | as is where is | ||
80267 | Air Products | Precision Tool | Manometer 1.5 Bar | spares | 9 | as is where is | immediately | |
80268 | AIR PRODUCTS | R-704-VCR | PRESSURE REGULATORS TEST | APSA46/2250 | 30.04.1993 | 2 | as is where is | immediately |
83904 | AIR PRODUCTS | F-74-VCR | REGULATOR PRESSURE | P/N: 101203-2 | 01.10.1989 | 1 | as is where is | immediately |
108721 | AIR SYSTEM INTL | SVB-E8EXP | Electric Blower, Explosion Proof | FACILITIES | 1 | as is where is | immediately | |
108797 | AIRCO TEMESCAL | CV-8-210 | E Beam Power Supply Controller, 3ea Available - Parts Only | SPARES | 1 | inquire | ||
106999 | Aixtron | CRIUS II XL | GaN MOCVD Reactor with 7 x 6" Close Coupled Showerhead | 150 mm | 01.06.2014 | 1 | as is where is | immediately |
93836 | AKRION | Akrion Gama | Automated wet station | 200mm | 31.10.2008 | 1 | as is where is | immediately |
108709 | Akrion | Goldfinger Velocity 4 | Single wafer cleaning system | 300 MM | 01.06.2007 | 1 | inquire | immediately |
108722 | AKRION | V2-SA.3200 | Wet Process Station Including Tanks | 150 mm | 01.12.1996 | 1 | as is where is | immediately |
108723 | AKRION | V2-HL.2000 | Acid Wet Bench | 150 mm | 1 | inquire | immediately | |
35536 | AKRION | V2-HL.2000 | Acid Wet Bench | 150 mm | 1 | inquire | immediately | |
98513 | Akrion | Akrion Gama | Wet Station, ST28, PSR, QDR, SD Dryer | 200 mm | 1 | as is where is | ||
84763 | AKT | New Aristo G4.5 NAR 1200L | Vertical In-line Sputtering Machine for LCD / TFT panel G4.5 for Mo-Al-Mo | 730mm x 920 mm | 01.05.2013 | 1 | as is where is | immediately |
84766 | AKT | NAR 1800/8 G6 ITO | Vertical In-line Sputtering Machine for LCD / TFT panel G6 | 730 mm x 920 mm (G6) | 30.11.2013 | 1 | as is where is | immediately |
108712 | AKT | 1600 | PECVD Gen 2 PECVD deposition system | Gen 2 | 01.05.2005 | 1 | inquire | immediately |
108724 | Alcan Tech/Canon | MAS-8000 | Microwave Ashing System | 200 mm | 1 | as is where is | immediately | |
77087 | Alcatel | flange | Alcatel pump flange 4" ID 5 1/4" OD, SST | 1 | as is where is | |||
108725 | ALCATEL | 5150CP | Turbo Molecular Vacuum Pump | PUMP | 2 | as is where is | immediately | |
106418 | Alessi | REL-4100A | Manual Prober | 150 mm/200 mm | 01.06.2000 | 1 | as is where is | immediately |
108726 | ALESSI | REL-4500 | Analytical Wafer Prober with 6" (dia.) Gold Plated Chuck | 150 mm | 1 | inquire | immediately | |
108798 | ALESSI | REL- Series 5000 | Analytical Prober with Mitutoyo FS-70 Zoom Microscope with LWD Objective Lenses, New Wave QuikLaze 532/355 Laser with 50X Lens, Missing Computer | 1 | inquire | |||
108799 | ALESSI | REL-4100A | Analytical Prober | 1 | inquire | |||
77093 | Allen Bradley | 800F-PN3GX11 | Contact module 24V, AMI S3320-15-1, pk of 3 | 1 | as is where is | |||
83564 | Allen Bradley | PanelView Plus 400 | Operator Panel, Serial | 1 | as is where is | immediately | ||
83593 | Allen Bradley | Micro-Logix 1200 | PLC Module | 1 | as is where is | immediately | ||
83595 | Allen Bradley | 1762-IF4 | PLC 4-ch input module | 1 | as is where is | immediately | ||
100700 | Alphasem | E8001 | Die Sort System | Assembly | 31.05.2003 | 1 | as is where is | immediately |
108727 | ALPHASEM | Swissline 9006 (Spares) | Automatic Epoxy Die Bonder, Parts Tool Only | 200 mm | 01.04.1993 | 1 | as is where is | immediately |
107283 | ALTRONIC | Dummy Load | Miscellaneous | Spares | 1 | as is where is | ||
107284 | ALTRONIC | Dummy Load | Miscellaneous | Spares | 1 | as is where is | ||
107285 | ALTRONIC | Dummy Load | Miscellaneous | Spares | 1 | as is where is | ||
107286 | ALTRONIC | Dummy Load | Miscellaneous | Spares | 1 | as is where is | ||
107287 | ALTRONIC | Dummy Load | Miscellaneous | Spares | 1 | as is where is | ||
107288 | ALTRONIC | Dummy Load | Miscellaneous | Spares | 1 | as is where is | ||
107289 | ALTRONIC | Dummy Load | Miscellaneous | Spares | 1 | as is where is | ||
107290 | ALTRONIC | Dummy Load | Miscellaneous | Spares | 1 | as is where is | ||
107291 | ALTRONIC | Dummy Load | Miscellaneous | Spares | 1 | as is where is | ||
107292 | ALTRONIC | Dummy Load | Miscellaneous | Spares | 1 | as is where is | ||
107293 | AMAT | 2MHZ Ice Match | RF Match | Spares | 1 | as is where is | ||
107294 | AMAT | 8300 | RF Match | Spares | 1 | as is where is | ||
107295 | AMAT | 8300 | RF Match | Spares | 1 | as is where is | ||
107296 | AMAT | 8300 | RF Match | Spares | 1 | as is where is | ||
86305 | AMAT | 0010-20422 | Endura 5500 PVD 8" Shield treatment and cover assembly | 1 | as is where is | immediately | ||
107297 | AMAT | DC Power Supply | Power Supply | Spares | 1 | as is where is | ||
107298 | AMAT | Manual Controller | Miscellaneous | Spares | 1 | as is where is | ||
107299 | AMAT | Manual Controller | Miscellaneous | Spares | 1 | as is where is | ||
107300 | AMAT | Manual Controller | Miscellaneous | Spares | 1 | as is where is | ||
107301 | AMAT | Micro Match | RF Match | Spares | 1 | as is where is | ||
107302 | AMAT | P5000 | RF Match | Spares | 1 | as is where is | ||
107303 | AMAT | P5000 | RF Match | Spares | 1 | as is where is | ||
107304 | AMAT | P5000 | RF Match | Spares | 1 | as is where is | ||
107305 | AMAT | P5000 | RF Match | Spares | 1 | as is where is | ||
107306 | AMAT | P5000 | RF Match | Spares | 1 | as is where is | ||
107307 | AMAT | P5000 | RF Match | Spares | 1 | as is where is | ||
107308 | AMAT | P5000 | RF Match | Spares | 1 | as is where is | ||
107309 | AMAT | P5000 | RF Match | Spares | 1 | as is where is | ||
107310 | AMAT | P5000 | RF Match | Spares | 1 | as is where is | ||
107311 | AMAT | P5000 | RF Match | Spares | 1 | as is where is | ||
107312 | AMAT | P5000 | RF Match | Spares | 1 | as is where is | ||
107313 | AMAT | P5000 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107314 | AMAT | P5000 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107315 | AMAT | P5000 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107316 | AMAT | Phase Mag Detector | Miscellaneous | Spares | 1 | as is where is | ||
107317 | AMAT | Phase Mag Detector | Miscellaneous | Spares | 1 | as is where is | ||
107318 | AMAT | Phase Mag Detector | Miscellaneous | Spares | 1 | as is where is | ||
107319 | AMAT | RF Match | RF Match | Spares | 1 | as is where is | ||
107320 | AMAT | RF Match Controller | Miscellaneous | Spares | 1 | as is where is | ||
107321 | AMAT | RF Match Controller | Miscellaneous | Spares | 1 | as is where is | ||
107322 | AMAT | RF Match Controller | Miscellaneous | Spares | 1 | as is where is | ||
107323 | AMAT | RF Match Controller | Miscellaneous | Spares | 1 | as is where is | ||
107324 | AMAT | RF Match Controller | Miscellaneous | Spares | 1 | as is where is | ||
107325 | AMAT | RF Match, VOR | RF Match | Spares | 1 | as is where is | ||
107326 | AMAT | Vectra IMP | RF Match | Spares | 1 | as is where is | ||
107327 | AMAT | Vectra Match | RF Match | Spares | 1 | as is where is | ||
84551 | AMAT | 0041-61611 | Retaining ring, Titan II, 8" *NEW* | 1 | as is where is | immediately | ||
83588 | AMAT | 0040-77544 | Rorze Ergo Loader Turn Plate Mirra CMP FABS-202 AMAT 0040-77544 | Spares | 1 | as is where is | immediately | |
83669 | AMAT | 0190-24834-002 | MFC Celerity ufc-8165 1L H2 | 3 | as is where is | immediately | ||
108131 | AMEC | PRIMO | 3CH Dry etcher | 300 mm | 1 | as is where is | ||
106419 | AMERIMADE | 6ft-fh-ss | RESIST STRIP MANUAL WET BENCH | 150 mm/200 mm | 1 | as is where is | immediately | |
77090 | AMI | B390.05 | belt | 1 | as is where is | |||
77153 | AMI | 885 | Screen printer Squeegee box lot | SMT | 1 | as is where is | immediately | |
74261 | AMI Presco | ACL-TS | Solar wafer picker tool | 31.12.2006 | 1 | as is where is | immediately | |
77188 | AMI Presco | USP206-2E | Solar wafer conveyor, 156mm for use with screen printers, etc. | 156mm | 1 | as is where is | immediately | |
84241 | Anaheim Automation | TM4500 AA4520 | Stepper controller/driver board | Spares | 1 | as is where is | immediately | |
77162 | Anaheim Automation | 23D306S | Stepper motor 3.4VDC 2.9A | Spares | 1 | as is where is | immediately | |
79884 | ANDO | AF9723 | Eprom Gang programmer w/ RAM expansion | Electronics Test and Measurement | 01.01.1995 | 1 | as is where is | immediately |
84221 | ANELVA | 954-7700 | ANELVA 954-7700 SWITCH | 1 | as is where is | immediately | ||
2669 | Angelantoni | T600 TU5 | Large Clean-room Oven with internal blowers | FACILITIES | 01.07.1995 | 1 | as is where is | immediately |
10637 | Angelantoni | T600 TUS | Large Clean-room Oven with internal blowers | FACILITIES | 31.05.1995 | 1 | inquire | immediately |
34740 | AP & S | TwinStep-B H3P04 | Semi-Automatic Wet Bench, used for H3PO4 with a 2 stage Megasonic and QDR | 200 mm | 01.10.2005 | 1 | as is where is | immediately |
100908 | AP Systems | KORONA-1200P | METAL RTP | 300 mm | 31.05.2005 | 1 | as is where is | |
106883 | APEX | 5513 | RF Generator | Spares | 01.06.2015 | 4 | as is where is | immediately |
108132 | APPLIED BIOSYSTEM | ABI-3700 ANALYZER | Automatic Sequencer | 01.06.2000 | 1 | as is where is | ||
100868 | Applied Materials | Mirra Mesa | CMP System | 200 mm | 1 | inquire | 5 months | |
108037 | Applied Materials | Varius Spare Parts | Spare Parts for sale | Spares | 1 | as is where is | immediately | |
100873 | Applied Materials | Mirra Mesa Integrated | Oxide/STI CMP | 200 mm | 31.05.1999 | 1 | inquire | |
106761 | Applied Materials | Mirra ® Mesa | CMP system with Cleaner | 200 mm | 1 | as is where is | immediately | |
108556 | Applied Materials | Uvision 600SP | Brightfield Inspection System | 300 mm | 01.05.2008 | 1 | as is where is | immediately |
108557 | Applied Materials | Centura EPI | Epitaxial Deposition, reduced pressure, 2 chamber | 300 mm | 01.05.2002 | 1 | as is where is | immediately |
108558 | Applied Materials | ENDURA CL | PVD Cluster tool | 300 mm | 01.05.2002 | 1 | as is where is | |
108559 | Applied Materials | ENDURA II Chamber | PVD | 300 mm | 01.05.2006 | 1 | as is where is | immediately |
108560 | Applied Materials | ENDURA II Chamber | PVD | 300 mm | 01.05.2018 | 1 | as is where is | immediately |
108561 | Applied Materials | ENDURA II Chamber | PVD | 300 mm | 01.05.2018 | 1 | as is where is | immediately |
91158 | Applied Materials | Centura WCVD | WSIX(OPTIMA) | 200 mm | 31.05.2000 | 1 | inquire | |
96534 | Applied Materials | Mirra Mesa | CMP system | 200 mm | 1 | inquire | ||
91159 | Applied Materials | Centura WCVD | WSIX(OPTIMA) | 200 mm | 31.05.2001 | 1 | inquire | |
91160 | Applied Materials | Centura WCVD | WxZ Optima | 200 mm | 1 | as is where is | ||
96537 | Applied Materials | Mirra Ontrak | Poly/STI CMP | 200 mm | 31.05.1999 | 1 | inquire | |
91162 | Applied Materials | Centura WCVD | WSIX(OPTIMA) | 200 mm | 1 | as is where is | ||
96538 | Applied Materials | Mirra Ontrak | Poly/STI CMP | 200 mm | 31.05.1999 | 1 | inquire | |
108059 | Applied Materials | CENTURA 2 DPS | Deep Trench Etcher, 2 chambers | 150 mm | 1 | as is where is | ||
108060 | Applied Materials | Centura 5300 HDP Omega | Dry etch cluster tool with 2 Chambers SIO2 etch | 200 mm | 1 | as is where is | ||
108316 | Applied Materials | Centura AP AdvantEdge G5 Mesa T2 Poly | Polysilicon Etch | 300 mm | 01.12.2014 | 1 | as is where is | immediately |
97053 | Applied Materials | ENDURA2 Chamber only | Amber-(Ti) chamber | 300 mm | 1 | as is where is | immediately | |
97054 | Applied Materials | ENDURA2 Chamber only | ESIP chamber | 300 mm | 1 | as is where is | immediately | |
91168 | Applied Materials | Centura WCVD | WSIX(OPTIMA) | 200 mm | 31.05.2000 | 1 | inquire | |
97057 | Applied Materials | ENDURA2 Chamber only | MOALD (IMP Ti) Chamber | 300 mm | 1 | as is where is | immediately | |
97059 | Applied Materials | ENDURA2 Chamber only | RfxT_CU Chamber | 300 mm | 1 | as is where is | immediately | |
97061 | Applied Materials | ENDURA2 Chamber Only | WSI chamber only | 300 mm | 1 | as is where is | immediately | |
97062 | Applied Materials | ENDURA2 Chamber Only | WSI chamber only | 300 mm | 1 | as is where is | immediately | |
100909 | Applied Materials | CENTURA DPS ll MESA T2 | Poly Etcher | 300 mm | 31.05.2015 | 1 | as is where is | |
91182 | Applied Materials | P5000 CVD | Delta Teos | 150 mm | 31.05.1993 | 1 | as is where is | |
100910 | Applied Materials | CENTURA DPS ll MESA T2 | Poly Etcher | 300 mm | 31.05.2015 | 1 | as is where is | |
91183 | Applied Materials | P5000 CVD | TEOS, DxL | 200 mm | 1 | as is where is | ||
100911 | Applied Materials | CENTURA DPS ll MESA T2 | Poly Etcher | 300 mm | 31.05.2016 | 1 | as is where is | |
91185 | Applied Materials | P5000 CVD | DxL | 150 mm | 31.05.1995 | 1 | as is where is | |
100913 | Applied Materials | DPS II | AE Poly G3 dry etcher | 300 mm | 31.05.2006 | 1 | as is where is | |
103473 | Applied Materials | CENTURA DPS G3 | Poly 1ch / Mesa 1ch | 300 mm | 31.05.2007 | 1 | as is where is | |
106289 | APPLIED MATERIALS | UVISION 5 | Bright Field Inspection | 300 mm | 01.06.2013 | 1 | as is where is | immediately |
108337 | Applied Materials | Centris AdvantEdge G5 Mesa T2 Poly | Polysilicon Etch | 300 mm | 1 | as is where is | ||
100914 | Applied Materials | DPS II | AE Poly G3 dry etcher | 300 mm | 31.05.2007 | 1 | as is where is | |
103474 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 31.05.2003 | 1 | as is where is | |
108338 | Applied Materials | Centris AdvantEdge G5 Mesa T2 Poly | Polysilicon Etch | 300 mm | 1 | as is where is | ||
103475 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 31.05.2005 | 1 | as is where is | |
108339 | Applied Materials | Centris SYM3 Poly | Polysilicon Etch | 300 mm | 1 | as is where is | ||
103476 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 31.05.2010 | 1 | as is where is | |
108340 | Applied Materials | Centris SYM3 Poly | Polysilicon Etch | 300 mm | 1 | as is where is | ||
91189 | Applied Materials | P5000 CVD | DxL | 200 mm | 1 | as is where is | ||
103477 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
108341 | Applied Materials | Centris SYM3Y Poly | Polysilicon Etch | 300 mm | 1 | as is where is | ||
91190 | Applied Materials | P5000 CVD | DxL | 200 mm | 1 | as is where is | ||
103478 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
108342 | Applied Materials | Centura AP - Mainframe Only (Poly Etch) | Polysilicon Etch | 300 mm | 1 | as is where is | ||
108343 | Applied Materials | Centura AP AdvantEdge G5 Mesa Poly | Polysilicon Etch | 300 mm | 3 | as is where is | ||
91192 | Applied Materials | P5000 Mark-II CVD | TEOS | 200 mm | 1 | as is where is | ||
108344 | Applied Materials | Centura AP AdvantEdge G5 Mesa T2 Poly | Polysilicon Etch | 300 mm | 1 | as is where is | ||
91193 | Applied Materials | P5000 Mark-II CVD | TEOS | 150 mm | 1 | as is where is | ||
108345 | Applied Materials | Centura AP AdvantEdge G5 Metal | Metal Etch | 300 mm | 7 | as is where is | ||
83514 | Applied Materials | Opal 7830i Enhanced | CD-SEM | 100 mm to 200 mm | 01.05.1997 | 1 | as is where is | immediately |
91194 | Applied Materials | P5000 Mark-II CVD | DxL | 200 mm | 1 | as is where is | ||
103482 | Applied Materials | DPS SILVIA | Silvia 2ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
108346 | Applied Materials | Centura AP AdvantEdge G5 Minos Poly | Polysilicon Etch | 300 mm | 1 | as is where is | ||
11579 | Applied Materials | 9200 (Spares for) | IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT | 200 mm | 01.06.1999 | 1 | inquire | immediately |
91195 | Applied Materials | P5000 Mark-II CVD | TEOS | 200 mm | 1 | as is where is | ||
103483 | Applied Materials | DPS2 | Poly Etcher | 300 mm | 1 | as is where is | ||
108347 | Applied Materials | Centura AP AdvantEdge G5 Poly | Polysilicon Etch | 300 mm | 2 | as is where is | ||
91196 | Applied Materials | P5000 Mark-II CVD+Etch | Sputter | 200 mm | 1 | as is where is | ||
103484 | Applied Materials | DPS2 | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
108348 | Applied Materials | Centura AP ASP II - Chamber Only | Metal Etch | 300 mm | 1 | as is where is | ||
103485 | Applied Materials | DPS2 AE | Poly Etcher | 300 mm | 1 | as is where is | ||
108349 | Applied Materials | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300 mm | 3 | as is where is | ||
103486 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
108350 | Applied Materials | Centura AP DPS AdvantEdge G2 Poly | Polysilicon Etch | 300 mm | 1 | as is where is | ||
91199 | Applied Materials | P5000 Mark-II CVD+Etch | TEOS 2Ch, Etch 2Ch | 200 mm | 31.05.1997 | 1 | as is where is | |
103487 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
108351 | Applied Materials | Centura AP eMax CT | Dielectric Etch | 300 mm | 1 | as is where is | ||
103488 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
108352 | Applied Materials | Centura AP iSprint | Metal CVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | ||
91201 | Applied Materials | P5000 Mark-II CVD+Etch | TEOS 2Ch, Sputter 2Ch | 200 mm | 31.05.2000 | 1 | as is where is | |
103489 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
108353 | Applied Materials | Endura 5500 Aluminum Interconnect | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | ||
103490 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | ||
108354 | Applied Materials | Endura 5500 Chambers: Multiple | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | ||
103491 | Applied Materials | DPS2 AE | MESA (Dry Etch) | 300 mm | 1 | as is where is | ||
106051 | Applied Materials | Endura 5500 | PVD system | 200 mm | 1 | as is where is | immediately | |
108355 | Applied Materials | Endura II Aluminum Interconnect | PVD (Physical Vapor Deposition) | 300 mm | 4 | as is where is | ||
91204 | Applied Materials | P5000 WCVD | WxL | 200 mm | 1 | as is where is | ||
103492 | Applied Materials | DPS2 AE | MINOS (Dry Etch) | 300 mm | 31.05.2005 | 1 | as is where is | |
108356 | Applied Materials | Endura II Chamber: CIP W PVD | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | ||
91205 | Applied Materials | P5000 WCVD | WxL | 200 mm | 1 | as is where is | ||
103493 | Applied Materials | DPS2 AE | MINOS (Dry Etch) | 300 mm | 31.05.2006 | 1 | as is where is | |
108357 | Applied Materials | Endura II Front-End Metallization | PVD (Physical Vapor Deposition) | 300 mm | 3 | as is where is | ||
103494 | Applied Materials | DPS2 AE | MESA (Dry Etch) | 300 mm | 31.05.2019 | 1 | as is where is | |
108358 | Applied Materials | Endura SL Front-End Metallization | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | ||
103495 | Applied Materials | DPS2 AE | MESA (Dry Etch) | 300 mm | 31.05.2019 | 1 | as is where is | |
108359 | Applied Materials | Oasis Clean | Batch Wafer Processing | 300 mm | 3 | as is where is | ||
91208 | Applied Materials | Producer GT Chamber (A) | SICONI Chamber only | 300 mm | 1 | as is where is | ||
103496 | Applied Materials | DPS2 AE | MESA (Dry Etch) | 300 mm | 31.05.2019 | 1 | as is where is | |
108360 | Applied Materials | Producer Etch eXT Dielectric | Dielectric Etch | 300 mm | 3 | as is where is | ||
91209 | Applied Materials | Producer GT Chamber (B) | SICONI Chamber only | 300 mm | 1 | as is where is | ||
108361 | Applied Materials | Producer GT APF | PECVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | ||
108362 | Applied Materials | Producer GT Eterna FCVD | PECVD (Chemical Vapor Deposition) | 300 mm | 5 | as is where is | ||
103499 | Applied Materials | ENDURA 2 | CH-1_TTN/CH-2_AL/CH-3_TTN/ CH-C&D_PCXT/CH-E&F_Degas | 300 mm | 31.05.2017 | 1 | as is where is | |
108363 | Applied Materials | Producer GT PECVD TEOS | PECVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | ||
103500 | Applied Materials | ENDURA 2 CH | Preclean XT Chamber | 300 mm | 31.05.2001 | 1 | as is where is | |
108364 | Applied Materials | Producer GT Selectra | Selective Etch | 300 mm | 1 | as is where is | ||
103501 | Applied Materials | ENDURA 2 CH | Preclean XT Chamber | 300 mm | 31.05.2008 | 1 | as is where is | |
108365 | Applied Materials | Producer SE APF | PECVD (Chemical Vapor Deposition) | 300 mm | 4 | as is where is | ||
103502 | Applied Materials | ENDURA 2 CH | Preclean XT Chamber | 300 mm | 1 | as is where is | ||
108366 | Applied Materials | Producer SE PECVD SILANE | PECVD (Chemical Vapor Deposition) | 300 mm | 8 | as is where is | ||
103503 | Applied Materials | ENDURA 2 CHAMBER ONLY | Remote Plasma Clean Chamber | 300 mm | 31.05.2004 | 1 | as is where is | |
106575 | Applied Materials | Centura AP Ultima Chamber | HDPCVD Chamber only | 300 mm | 1 | as is where is | ||
108367 | Applied Materials | Producer SE PECVD TEOS | PECVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | ||
103504 | Applied Materials | ENDURA 2 CHAMBER ONLY | Remote Plasma Clean Chamber | 300 mm | 31.05.2006 | 1 | as is where is | |
106576 | Applied Materials | CENTURA DPS G3 | Poly 2ch / Mesa 1ch | 300 mm | 01.06.2001 | 1 | as is where is | |
108368 | Applied Materials | Reflexion - Dielectric | Dielectric CMP | 300 mm | 4 | as is where is | ||
103505 | Applied Materials | ENDURA 2 CHAMBER ONLY | Remote Plasma Clean Chamber | 300 mm | 31.05.2010 | 1 | as is where is | |
106065 | Applied Materials | Mirra ® 3400 | Stand-Alone CMP System | 200 mm | 01.06.2001 | 1 | inquire | immediately |
106577 | Applied Materials | CENTURA DPS G3 | Poly 3ch | 300 mm | 01.06.2006 | 1 | as is where is | |
108369 | Applied Materials | Reflexion LK - Poly/STI | Poly/STI CMP | 300 mm | 1 | as is where is | ||
103506 | Applied Materials | ENDURA CL | PVD | 300 mm | 31.05.2010 | 1 | as is where is | |
106578 | Applied Materials | CENTURA DPS G3 | Poly 3ch | 300 mm | 01.06.2006 | 1 | as is where is | |
108370 | Applied Materials | Reflexion LK Copper | Copper CMP | 300 mm | 3 | as is where is | ||
106579 | Applied Materials | CENTURA DPS G3 | Poly 3ch / Axiom 1ch | 300 mm | 01.06.2013 | 1 | as is where is | |
108371 | Applied Materials | Reflexion LK Oxide | Dielectric CMP | 300 mm | 9 | as is where is | ||
103508 | Applied Materials | PRODUCER GT | Ht ACL 3ch / Server OS Type | 300 mm | 31.05.2014 | 1 | as is where is | |
106580 | Applied Materials | CENTURA DPS G3 | Poly 3ch / Axiom 1ch | 300 mm | 01.06.2010 | 1 | as is where is | |
108372 | Applied Materials | Vantage Radiance RTP | Platform RTP Equipment | 300 mm | 1 | as is where is | ||
103509 | Applied Materials | PRODUCER GT | BD2_CU | 300 mm | 31.05.2002 | 1 | as is where is | |
106581 | Applied Materials | CENTURA DPS G5 MESA | Poly 3ch / AXIOM 1ch / Server OS Type | 300 mm | 01.06.2011 | 1 | as is where is | |
108373 | Applied Materials | Vantage RadiancePlus | Platform RTP Equipment | 300 mm | 1 | as is where is | ||
103510 | Applied Materials | PRODUCER SE | CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type | 300 mm | 31.05.2003 | 1 | as is where is | |
106582 | Applied Materials | CENTURA MCVD | WxZ Optima | 200 mm | 01.06.2001 | 1 | as is where is | |
108374 | Applied Materials | VeritySEM 2 | SEM - Critical Dimension (CD) Measurement | 300 mm | 1 | as is where is | ||
103511 | Applied Materials | PRODUCER SE | Ht_SiN 2ch / Server OS Type | 300 mm | 1 | as is where is | ||
106583 | Applied Materials | CENTURA 5200 DPS | Poly Etcher | 200 mm | 01.06.1999 | 1 | as is where is | |
108375 | Applied Materials | VeritySEM 4i | SEM - Critical Dimension (CD) Measurement | 300 mm | 1 | as is where is | ||
103512 | Applied Materials | RAIDER | ECD Copper Electroplating System | 300 mm | 31.05.2005 | 1 | as is where is | |
106584 | Applied Materials | ENDURA 2 (Gray Rack) | 3CH (WxZ) | 300 mm | 01.06.2007 | 1 | as is where is | |
108376 | Applied Materials | VeritySEM 4i+ | SEM - Critical Dimension (CD) Measurement | 300 mm | 1 | as is where is | ||
103513 | Applied Materials | RAIDER | ECD Copper Electroplating System | 300 mm | 31.05.2006 | 1 | as is where is | |
106585 | Applied Materials | ENDURA 2 Chamber Only | ALPS | 300 mm | 1 | as is where is | ||
106586 | Applied Materials | ENDURA 2 Chamber Only | MOALD (IMP TiN) | 300 mm | 1 | as is where is | ||
103515 | Applied Materials | VANTAGE HYBRID | RTP / Server OS Type | 300 mm | 31.05.2006 | 1 | as is where is | |
106587 | Applied Materials | ENDURA 2 CHAMBER ONLY | PCXT | 300 mm | 01.06.2019 | 1 | as is where is | |
103516 | Applied Materials | VANTAGE RADIANCE | RTP | 300 mm | 31.05.2005 | 1 | as is where is | |
106588 | Applied Materials | ENDURA 2 Chamber Only | PCXT | 300 mm | 01.06.2019 | 1 | as is where is | |
106589 | Applied Materials | ENDURA 2 Chamber Only | PCXT | 300 mm | 01.06.2018 | 1 | as is where is | |
106590 | Applied Materials | ENDURA 2 Chamber Only | PCXT | 300 mm | 01.06.2018 | 1 | as is where is | |
106591 | Applied Materials | ENDURA 2 Chamber Only | PCXT | 300 mm | 01.06.2019 | 1 | as is where is | |
106592 | Applied Materials | ENDURA 2 CHAMBER ONLY | RPC | 300 mm | 01.06.2007 | 1 | as is where is | |
106593 | Applied Materials | ENDURA 2 CHAMBER ONLY | SIP Ti | 300 mm | 1 | as is where is | ||
106594 | Applied Materials | ENDURA 2 CHAMBER ONLY | SIP Ti | 300 mm | 1 | as is where is | ||
106339 | Applied Materials | Centura ENABLER-E2 | Dry etch cluster tool | 300 mm | 01.06.2009 | 1 | as is where is | |
106595 | Applied Materials | ENDURA 2 Chamber Only | SIP Ti | 300 mm | 1 | as is where is | ||
106340 | Applied Materials | Centura ENABLER-E2 | Dry etch cluster tool | 300 mm | 01.06.2007 | 1 | as is where is | |
106596 | Applied Materials | ENDURA 2 Chamber Only | SIP Ti | 300 mm | 1 | as is where is | ||
106341 | Applied Materials | Centura ENABLER-E2 | Dry etch cluster tool | 300 mm | 01.06.2010 | 1 | as is where is | |
106597 | Applied Materials | ENDURA 2 Chamber Only | SIP Ti | 300 mm | 1 | as is where is | ||
108133 | Applied Materials | CENTURA MCVD | WxZ Optima | 200 mm | 01.06.2000 | 1 | as is where is | |
106342 | Applied Materials | Centura ENABLER-E2 | Dry etch cluster tool | 300 mm | 01.06.2007 | 1 | as is where is | |
106598 | Applied Materials | ENDURA 2 Chamber Only | SIP Ti | 300 mm | 1 | as is where is | ||
108134 | Applied Materials | ENDURA 2 CHAMBER Only | EnCoRe1(Cu) Chamber only | 300 mm | 1 | as is where is | ||
106343 | Applied Materials | Centura ENABLER-E5 | Dry etch cluster tool | 300 mm | 01.06.2009 | 1 | as is where is | |
106599 | Applied Materials | ENDURA 2 CHAMBER ONLY | TXZ ALD chamber | 300 mm | 1 | as is where is | immediately | |
108135 | Applied Materials | ENDURA 2 CHAMBER Only | EnCoRe1(Cu) Chamber only | 300 mm | 1 | as is where is | ||
93032 | Applied Materials | CENTRIS DPS MESA | Dry Etch, Twin 3chamber | 300 MM | 31.05.2010 | 1 | as is where is | |
106344 | Applied Materials | P5000 SiN | CVD cluster tool | 150 mm | 1 | as is where is | ||
106600 | Applied Materials | ENDURA CL Chamber Only | CVD AL(AXZ) | 300 mm | 01.06.2002 | 1 | as is where is | |
108136 | Applied Materials | ENDURA 2 CHAMBER Only | EnCoRe1(Ta) Chamber only | 300 mm | 1 | as is where is | ||
106345 | Applied Materials | P5000 TEOS | CVD cluster tool | 150 mm | 01.06.1989 | 1 | as is where is | |
106601 | Applied Materials | ENDURA CL Chamber Only | CVD AL(AXZ) | 300 mm | 01.06.2002 | 1 | as is where is | |
108137 | Applied Materials | ENDURA 2 CHAMBER Only | EnCoRe1(Ta) Chamber only | 300 mm | 1 | as is where is | ||
93034 | Applied Materials | CENTURA ENABLER E2 | Oxide Etcher /server OS PC | 300 MM | 31.05.2007 | 1 | as is where is | |
106346 | Applied Materials | PRODUCER (2)DPN (1) RTP | CVD cluster tool | 300 mm | 01.06.2008 | 1 | as is where is | |
106602 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only | 300 mm | 1 | as is where is | ||
108138 | Applied Materials | ENDURA 2 CHAMBER Only | PCXT Chamber only | 300 mm | 01.06.2019 | 1 | as is where is | |
93035 | Applied Materials | CENTURA ENABLER E5 | Oxide Etcher /server OS PC | 300 MM | 31.05.2010 | 1 | as is where is | |
106603 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only | 300 mm | 1 | as is where is | ||
108139 | Applied Materials | ENDURA CL | Not include XP Robot | 300 mm | 01.06.2002 | 1 | as is where is | |
93036 | Applied Materials | P5000 Etch | no chamber (PLIS type) | 200 mm | 1 | as is where is | ||
106348 | Applied Materials | PRODUCER SE ACL (2CH) | CVD cluster tool | 300 mm | 01.06.2006 | 1 | as is where is | |
106604 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only | 300 mm | 1 | as is where is | ||
108140 | Applied Materials | ENDURA CL | 300 mm | 1 | as is where is | |||
106349 | Applied Materials | PRODUCER-GT(EFEM) | CVD cluster tool | 300 mm | 01.06.2019 | 1 | as is where is | |
106605 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only | 300 mm | 1 | as is where is | ||
108141 | Applied Materials | ENDURA CL Chamber Only | ESIP TAN (No Target) Chamber only | 300 mm | 1 | as is where is | ||
106350 | Applied Materials | Uvision 200 | Wafer Inspection | 300 mm | 01.06.2006 | 1 | as is where is | |
106606 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only | 300 mm | 1 | as is where is | ||
108142 | Applied Materials | Olympia | ALD | 300 mm | 1 | as is where is | ||
106351 | Applied Materials | Centura VANTAGE VULCAN | RTP Cluster tool | 300 mm | 01.06.2013 | 1 | as is where is | |
106607 | Applied Materials | ENDURA CL Chamber Only | PRECLEAN | 300 mm | 1 | as is where is | ||
108143 | Applied Materials | P5000 | Delta Dlh 3chCVD | 150 mm | 1 | as is where is | ||
106352 | Applied Materials | Centura VANTAGE VULCAN | RTP Cluster tool | 300 mm | 1 | as is where is | ||
106608 | Applied Materials | ENDURA CL Chamber Only | PRECLEAN | 300 mm | 1 | as is where is | ||
108144 | Applied Materials | P5000 | Mark II Metal ETCH | 150 mm | 1 | as is where is | ||
106609 | Applied Materials | ENDURA CL Chamber Only | PRECLEAN | 300 mm | 1 | as is where is | ||
108145 | Applied Materials | P5000 | Teos dlh 3ch CVD | 150 mm | 1 | as is where is | ||
106098 | Applied Materials | Mirra ® 3400 | Stand-Alone CMP system | 200 mm | 01.06.2008 | 1 | as is all rebuilt | 4 months |
106610 | Applied Materials | ENDURA CL Chamber Only | RPC | 300 mm | 01.06.2002 | 1 | as is where is | |
108146 | Applied Materials | Producer GT Chamber | SICONI Chamber only | 300 mm | 01.06.2017 | 1 | as is where is | |
106611 | Applied Materials | ENDURA CL Chamber Only | RPC | 300 mm | 01.06.2002 | 1 | as is where is | |
108147 | Applied Materials | PRODUCER SE | Ht_SiN 2ch / Server OS Type | 300 mm | 01.06.2006 | 1 | as is where is | |
70004 | Applied Materials | Baccini | Misc parts For Baccini Solar Line - see attached list | Spares | 1 | as is where is | immediately | |
108148 | Applied Materials | Producer SE Chamber | LLTO Chamber only | 300 mm | 01.06.2014 | 1 | as is where is | |
106613 | Applied Materials | ENDURA2 CHAMBER ONLY | PVD CHAMBER | 300 mm | 1 | as is where is | ||
108149 | Applied Materials | PRODUCER SE CHAMBER | PECVD Silane Chamber Only | 300 mm | 1 | as is where is | ||
106614 | Applied Materials | ENDURA2 VOLTA CO | CHAMBER QTY 2 SETS | 300 mm | 1 | as is where is | ||
108150 | Applied Materials | PRODUCER SE CHAMBER | PECVD TEOS Chamber Only | 300 mm | 1 | as is where is | ||
106615 | Applied Materials | G5-MESA | DRY ETCH EFEM | 300 MM | 1 | as is where is | ||
86136 | Applied Materials | PRODUCER_SE_2CH | CVD | 300 mm | 31.05.2007 | 1 | as is where is | |
106616 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER | 300 mm | 1 | as is where is | ||
106872 | Applied Materials | Centura AP AdvantEdge Minos Poly | Polysilicon Etch (3 CH ETCH AND 1 CH STRIP) | 300 mm | 01.06.2010 | 1 | as is where is | immediately |
106617 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER | 300 mm | 1 | as is where is | ||
106618 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER | 300 mm | 1 | as is where is | ||
93051 | Applied Materials | P5000 | WXL | 150 mm | 31.05.1994 | 1 | as is where is | |
106619 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER | 300 mm | 1 | as is where is | ||
106620 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER | 300 mm | 1 | as is where is | ||
93053 | Applied Materials | PRODUCER GT | LLTO | 300 mm | 31.05.2014 | 1 | as is where is | |
106621 | Applied Materials | P5000 | Delta Teos 3ch, Sputter 1ch | 150 mm | 01.06.1996 | 1 | as is where is | |
106622 | Applied Materials | P5000 | Delta Teos 3ch, Sputter 1ch | 200 mm | 01.06.1998 | 1 | as is where is | |
105855 | Applied Materials | 0040-35966-P1 | WLDMT,LAMP HOUSING,RTP CHMBR | 200 mm | 01.05.1997 | 1 | as is where is | immediately |
106623 | Applied Materials | P5000 | DxL 2ch | 200 mm | 01.06.1996 | 1 | as is where is | |
106624 | Applied Materials | PRODUCER GT | ACL 1ch / Server X | 300 mm | 1 | as is where is | ||
106625 | Applied Materials | PRODUCER GT | CH_A_FOX / CH_B_eHARP / Server OS Type | 300 mm | 01.06.2009 | 1 | as is where is | |
106626 | Applied Materials | PRODUCER GT | Ht_Acl 3ch / Server OS Type | 300 mm | 01.06.2006 | 1 | as is where is | |
106627 | Applied Materials | PRODUCER GT | Siconi 3ch | 300 mm | 01.06.2009 | 1 | as is where is | |
108931 | Applied Materials | SEMVISION G5 MAX | Defect Review SEM | 300 mm | 01.06.2011 | 1 | as is where is | immediately |
106628 | Applied Materials | Producer GT Chamber | HARP | 300 mm | 1 | as is where is | ||
91269 | Applied Materials | CENTURA 5200 | MxP Poly | 200 mm | 1 | as is where is | ||
106629 | Applied Materials | Producer GT Chamber | HARP | 300 mm | 1 | as is where is | ||
106630 | Applied Materials | Producer GT Chamber | SICONI Chamber only | 300 mm | 01.06.2017 | 1 | as is where is | |
36487 | APPLIED MATERIALS | P-5000 DxL | DxL, TEOS | 150mm | 1 | inquire | ||
106631 | Applied Materials | Producer GT Chamber | SIH4 | 300 mm | 1 | as is where is | ||
101768 | Applied Materials | 0010-00557 REV A | Heat Exchanger | FACILITIES | 31.08.1988 | 1 | as is where is | immediately |
106632 | Applied Materials | Producer GT Chamber only | SICONI Chamber only | 300 mm | 01.06.2017 | 1 | as is where is | |
106633 | Applied Materials | PRODUCER SE | CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type | 300 mm | 01.06.2004 | 1 | as is where is | |
106634 | Applied Materials | PRODUCER SE | Ht_SiN 2ch / Server OS Type | 300 mm | 01.06.2006 | 1 | as is where is | |
106635 | Applied Materials | PRODUCER SE | SILANE 2ch / Server OS Type | 300 mm | 01.06.2011 | 1 | as is where is | |
106636 | Applied Materials | PRODUCER SE | Teos 2ch / Server OS Type | 300 mm | 01.06.2006 | 1 | as is where is | |
91277 | Applied Materials | Centura DPS II CHAMBER | Chamber only | 300 mm | 1 | as is where is | ||
106637 | Applied Materials | ENDURA 2 Chamber Only | (IMP Ti) | 300 mm | 1 | as is where is | ||
106638 | Applied Materials | ENDURA 2 Chamber Only | EXTENSA Ti | 300 mm | 01.06.2008 | 1 | as is where is | |
91279 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | |
91280 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | |
91281 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | |
91282 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | |
91283 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | |
91284 | Applied Materials | Etch dry chamber Only | AXIOM, DPS | 300 mm | 1 | as is where is | ||
91285 | Applied Materials | Etch dry chamber Only | AXIOM, DPS | 300 mm | 1 | as is where is | ||
91286 | Applied Materials | P5000 | DELTA DLH | 150 mm | 1 | as is where is | ||
91287 | Applied Materials | P5000 | DELTA DLH | 150 mm | 31.05.1993 | 1 | as is where is | |
18840 | APPLIED MATERIALS | 0230-00101 | Precision etch 8300 | 1 | as is where is | |||
18841 | APPLIED MATERIALS | 0230-09130 | Precision 5000 Mark II spare part identified | 1 | as is where is | |||
105881 | Applied Materials | Reflexion GT | CMP system with integrated cleaner | 300 MM | 01.05.2011 | 1 | as is where is | immediately |
18842 | APPLIED MATERIALS | 0230-09259 | Precision 5000 Mark II Mainframe and support equipment manual | 1 | as is where is | |||
18843 | APPLIED MATERIALS | 079-1202-0D | PR 5000 Advanced prev. And corrective main | 1 | as is where is | |||
108699 | Applied Materials | Oasis | HF Wafer cleaning system | 300 MM | 01.06.2006 | 1 | inquire | |
4252 | Applied Materials | 0230-09130 | P5000 SPARE PARTS IDENTIFIER | Spares | 01.01.1992 | 1 | as is where is | |
18844 | APPLIED MATERIALS | 026-110-0B1 | PR 5000 Func desc. Practice exercici and basic prev. maintenance procedures | 1 | as is where is | |||
108701 | Applied Materials | Centura 5200 High K CVD Process Chamber | CVD Process Chamber | 200 mm | 1 | as is where is | immediately | |
108705 | Applied Materials | Centura 5200 MxP Chamber | MxP Etching Chamber | 200 mm | 1 | inquire | immediately | |
91052 | APPLIED MATERIALS | CENTURA E-MAX CT 3CH | DRY ETCH | 300 mm | 1 | as is where is | ||
91316 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 1 | as is where is | ||
93108 | Applied Materials | PRODUCER GT | UV Bake Photostabilizer | 300 mm | 31.05.2017 | 1 | as is where is | |
91317 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 1 | as is where is | ||
93109 | Applied Materials | PRODUCER GT | UV Bake Photostabilizer | 300 mm | 31.05.2017 | 1 | as is where is | |
95928 | Applied Materials | Centura AP DPS2 Advantedge Carina Mesa | Dry Etch Cluster Tool - 2 CHAMBER - METAL ETCH PROCESS | 300 mm | 01.05.2013 | 1 | as is where is | immediately |
106944 | Applied Materials | Endura 5500 (spare parts) | Endura 6" process kit for Degas Chamber, NEW, in AMAT box , including 0020-28140 and other parts | 150 mm | 01.11.2001 | 1 | as is where is | immediately |
102855 | Applied Materials | Centura 5200 | WxP Chamber (Mechanical Clamp Chuck) Tungsten etch Back | 200 mm | 4 | as is where is | ||
102856 | Applied Materials | Centura 5200 DPS II | Metal Etch with 2 x DPS2 Metal etch and 2 x Axiom CH | 300 mm | 31.05.2008 | 1 | as is where is | |
102857 | Applied Materials | P5000 | PECVD TEOS with 3 x SACVD chambers | 200 mm | 1 | as is where is | ||
91602 | Applied Materials | ENDURA CL Chamber Only | AxZ | 300 mm | 1 | as is where is | ||
98514 | Applied Materials | P5000 | PE CVD | 200 mm | 1 | as is where is | ||
91603 | Applied Materials | ENDURA CL Chamber Only | AxZ | 300 mm | 1 | as is where is | ||
91604 | Applied Materials | ENDURA CL Chamber Only | AxZ | 300 mm | 1 | as is where is | ||
91605 | Applied Materials | ENDURA CL Chamber Only | AxZ | 300 mm | 1 | as is where is | ||
91606 | Applied Materials | ENDURA CL Chamber Only | AxZ | 300 mm | 1 | as is where is | ||
91607 | Applied Materials | ENDURA CL Chamber Only | AxZ | 300 mm | 1 | as is where is | ||
91608 | Applied Materials | ENDURA CL Chamber Only | AxZ | 300 mm | 1 | as is where is | ||
98264 | Applied Materials | VANTAGE (Rediance 3.x) | RTP | 300 mm | 31.05.2003 | 1 | as is where is | |
106203 | Applied Materials | Mirra 3400 Stand-Alone | Oxide/STI CMP | 200 mm | 01.06.1998 | 1 | inquire | |
108254 | Applied Materials | P5000 | CVD system with 4 x DXZ chambers, Silane process | 200 mm | 01.06.2001 | 1 | as is where is | |
106207 | Applied Materials | 0240-20611 REV E | KIT SLIT VALVE PER CHAMBER REV E | Spares | 01.06.1999 | 1 | as is where is | immediately |
91616 | Applied Materials | ENDURA CL Chamber Only | AL | 300 mm | 1 | as is where is | ||
106208 | Applied Materials | 0040-13659 REV P1 | BLOCK, MTG | Spares | 01.06.1999 | 1 | as is where is | immediately |
91617 | Applied Materials | ENDURA CL Chamber Only | AL | 300 mm | 1 | as is where is | ||
106209 | Applied Materials | 0020-21089 | SHIELD | Spares | 01.06.1999 | 1 | as is where is | immediately |
91618 | Applied Materials | ENDURA CL Chamber Only | Chamber 1 (Ver. 001) CPI-VMO | 300 mm | 1 | as is where is | ||
106210 | Applied Materials | 0190-20015 REV E | GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR | Spares | 01.06.1998 | 1 | as is where is | immediately |
106211 | Applied Materials | 0050-20072 REV B | GAS LINE CHAMBER 3 HEATER MFC 1 VCR | Spares | 01.06.1999 | 1 | as is where is | immediately |
91620 | Applied Materials | ENDURA CL Chamber Only | SOURCE D (Ver. 003) CPI-VMO | 300 mm | 1 | as is where is | ||
94436 | Applied Materials | CENTURA MOCVD | NLighten NEON | 31.05.2010 | 1 | as is where is | immediately | |
106212 | Applied Materials | 0050-76652 REV A | GAS LINE CHAMBER 2 PROCESS MFC 19 MIXED | Spares | 01.06.1999 | 1 | as is where is | immediately |
106980 | Applied Materials | Reflexion | CMP system | 300 mm | 1 | inquire | immediately | |
106213 | Applied Materials | 0020-20626 REV C | BLOCK MOUNTING SHUT-OFF VALVE | Spares | 01.06.1999 | 2 | as is where is | immediately |
106981 | Applied Materials | Reflexion LK | CMP system | 300 mm | 1 | inquire | immediately | |
106214 | Applied Materials | 0090-20042 REV D | ASSY 3 WAY VALVE | Spares | 01.06.1999 | 5 | as is where is | immediately |
106982 | Applied Materials | Mesa | CMP cleaning system | 300 mm | 1 | inquire | immediately | |
91623 | Applied Materials | ENDURA CL Chamber Only | Chamber D (Ver. 001) CPI-VMO(eSIP TAN) | 300 mm | 1 | as is where is | ||
94439 | Applied Materials | Centura WCVD | WxZ Optima | 200 mm | 1 | as is where is | ||
106215 | Applied Materials | 0020-20483 REV E | HUB LAMP CORNER COVER | Spares | 01.06.1998 | 2 | as is where is | immediately |
106983 | Applied Materials | Desica | CMP Cleaning system | 300 mm | 1 | inquire | immediately | |
106216 | Applied Materials | 0140-20502 REV D | HARN ASSY AC 2-PHASE DRIVER | Spares | 01.06.1999 | 1 | as is where is | immediately |
106984 | Applied Materials | Kawasaki 4.0 | Fab Interface Module | 300 mm | 1 | inquire | immediately | |
106217 | Applied Materials | 0020-20523 | COVER | Spares | 01.06.1999 | 1 | as is where is | immediately |
106218 | Applied Materials | 0240-70416 REV C | KIT BLOW OUT VALVE PER CHAMBER | Spares | 01.06.1999 | 1 | as is where is | immediately |
106219 | Applied Materials | 0190-20015 REV E | GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR | Spares | 01.06.1999 | 1 | as is where is | immediately |
94444 | Applied Materials | ENDURA CL Chamber only | AxZ | 300 mm | 31.05.2017 | 1 | as is where is | |
106220 | Applied Materials | 0190-20015 REV D | VALVE / MANIFOLD | Spares | 01.06.1998 | 1 | as is where is | immediately |
106221 | Applied Materials | 3830-01018 REV | LABEL LASERTAB MARKER WHT POLYEST LAM | Spares | 01.06.1999 | 2 | as is where is | immediately |
106222 | Applied Materials | 3830-01034 REV | LABEL LASERTAB MARKER WHT POLYEST LAM | Spares | 01.06.1999 | 1 | as is where is | immediately |
106223 | Applied Materials | 0020-20626 REV C | BLOCK MOUNTING SHUT-OFF VALVE | Spares | 01.06.1998 | 1 | as is where is | immediately |
106224 | Applied Materials | 3870-01281 REV | VALVE BLANK PLATE ASSY FOR SMC P/N NVJ11 | Spares | 01.06.1999 | 3 | as is where is | immediately |
106225 | Applied Materials | 3870-01284 | VALVE BLANK PLATE ASSY FOR SMC P/N NVJ3023 | Spares | 01.06.1999 | 1 | as is where is | immediately |
106226 | Applied Materials | 0090-20042 REV D | ASSY 3 WAY VALVE | Spares | 01.06.1999 | 1 | as is where is | immediately |
106227 | Applied Materials | 0190-20015 REV E | GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR | Spares | 01.06.1999 | 1 | as is where is | immediately |
106228 | Applied Materials | 0020-20626 REV C | BLOCK MOUNTING SHUT-OFF VALVE | Spares | 01.06.1998 | 1 | as is where is | immediately |
108023 | Applied Materials | P5000 | CVD | 200 mm | 01.11.1990 | 1 | as is where is | |
71931 | Applied Materials | 0050-76664 REV A | GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE | spares | 01.06.1999 | 1 | as is where is | immediately |
71932 | Applied Materials | 0020-20919 REV C | COVER CVD POST | spares | 31.05.1999 | 1 | as is where is | immediately |
71933 | Applied Materials | 0150-21344 | CHAMBER D INTERCONNECT EMC COMPLIANT | spares | 31.05.1999 | 1 | as is where is | immediately |
105851 | Applied Materials ® | 079-018-05 | P5000 Mk II Functional description Practice exercises | Spares | 30.04.1995 | 1 | as is where is | immediately |
105852 | Applied Materials ® | 0230-09258B | P5000 Mk II Mainframe and Support Equipment Manual | Spares | 30.06.1994 | 1 | as is where is | immediately |
105853 | Applied Materials ® | 0230-00103 | Precision Etch 8300 Corrective Maintenance Rev 3 | Spares | 30.04.1990 | 2 | as is where is | immediately |
105854 | Applied Materials ® | 0230-20005 | Endura Operations and Programming Training Course Student Workbook | Spares | 31.08.1993 | 1 | as is where is | immediately |
105858 | Applied Materials ® | 0021-35163 Rev A | Gold-plated RTP Reflector Plate, 200 MM, chamber bottom | 200 mm | 31.05.1997 | 1 | as is where is | immediately |
6536 | Applied Materials ® | 0230-09258 B | P5000 Mk II Mainfame support and equipment manual cleanroom July 1994 | Spares | 01.07.1994 | 1 | as is where is | immediately |
6537 | Applied Materials ® | 026-105-03 C | P5000 Mk II Functional description training manual | Spares | 01.04.1995 | 1 | as is where is | immediately |
6538 | Applied Materials ® | 079-109-0D | P5000 Mk II Advanced calibration proceedures manual Jan 1995 | Spares | 01.01.1995 | 1 | as is where is | immediately |
6539 | Applied Materials ® | 079-102-0D | P5000 Mk II Advanced preventive and corrective maintenance Apr 1996 | Spares | 01.04.1996 | 1 | as is where is | immediately |
6540 | Applied Materials ® | 026-110-0B.1 | P5000 Mk II Functional description , practice exercises and basic maintenance proceedures | Spares | 01.03.1996 | 1 | as is where is | immediately |
77151 | ARO | ANAQK-ABXAB-160 | Plate Cylinder | 01.01.2008 | 2 | as is where is | immediately | |
83894 | ASAHI TOOLS | K-2 | PRECISION WRENCH | PARTS | 1 | as is where is | immediately | |
32217 | ASAHI TOOLS | K-2 | PRECISION WRENCH | PARTS | 1 | as is where is | immediately | |
77168 | Asco | SC8210G004 | Solenoid Valve, 1 In, Orifice 1 In, Brass | Spares | 1 | as is where is | immediately | |
83571 | Asco | SC8210G93 | Solenoid Valve, | Spares | 1 | as is where is | immediately | |
108061 | ASM | AD 809-06 | Die Bonder | ASSEMBLY | 1 | as is where is | ||
108062 | ASM | HUMMING BIRD | STUD BUMP | ASSEMBLY | 1 | as is where is | ||
108318 | ASM | Eagle XP | PECVD (Chemical Vapor Deposition) | 300 mm | 01.12.2007 | 1 | as is where is | immediately |
108319 | ASM | Eagle XP | PECVD (Chemical Vapor Deposition) | 300 mm | 01.08.2007 | 1 | as is where is | immediately |
108377 | ASM | A412 Anneal | Vertical Furnace | 300 mm | 1 | as is where is | ||
108378 | ASM | A600 LPCVD-OXIDE | Vertical Furnace | 300 mm | 1 | as is where is | ||
108379 | ASM | Eagle XP | PECVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | ||
108380 | ASM | Eagle XP | PECVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | ||
108381 | ASM | Eagle XP EmerALD | ALD (Atomic Layer Deposition) | 300 mm | 1 | as is where is | ||
108382 | ASM | Eagle Xtreme | Wire Bonder | ASSEMBLY | 1 | as is where is | ||
108383 | ASM | Eagle Xtreme | Wire Bonder | ASSEMBLY | 1 | as is where is | ||
108384 | ASM | Eagle-12 Rapidfire | PECVD (Chemical Vapor Deposition) | 300 mm | 3 | as is where is | ||
106862 | ASM | A412 | Dual Tube CVD Furnace, Tube 1 Nitride , Tube 2 As + Ge doped polyslicon | 200 mm | 01.01.2019 | 1 | as is where is | immediately |
108658 | ASM | SX4 | Pick and Place | SMT | 01.06.2012 | 1 | as is where is | |
108659 | ASM | DX4 | Pick and Place | SMT | 01.06.2013 | 1 | as is where is | |
106884 | ASM | AS899 (Spare Parts) | Spare Parts for Pick and Place Die Bonder | Spares | 01.06.2009 | 51 | as is where is | immediately |
98443 | ASM | MS896 | LED sorter | 100mm | 1 | as is where is | ||
98444 | ASM | WS896 | LED sorter | 100mm | 1 | as is where is | ||
83601 | ASM | Extraction and Inspection of the Leadframe | Assembly | 1 | as is where is | immediately | ||
83602 | ASM | MC 609H | left:Leadframe in Magazine, transport in one of the ten Ovens, Cooling Station, right side: Leadframe out of Magazine | 1 | as is where is | |||
83603 | ASM | IBE 139H | Rotary Station then Buffered in Magazine and from Magazine to Wirebonder | 1 | as is where is | |||
83605 | ASM | DS 830 | Double Head Dispenser, left: Magazin, right: Leadframe | 1 | as is where is | |||
83606 | ASM | Extraction and Inspection of the Leadframe | 1 | as is where is | ||||
83607 | ASM | MC 609H | left:Leadframe in Magazine, transport in one of the ten Ovens, Cooling Station, right side: Leadframe out of Magazine | 1 | as is where is | |||
83609 | ASM | SLS 230T | left: IC cup and testing good/bad and than sorting in small tube | 1 | as is where is | |||
83610 | ASM | TLB 203EX | Testing | 1 | as is where is | |||
83611 | ASM | SLT400 | left: IC cup, Taping on Reel | 1 | as is where is | |||
81827 | ASM | 2890224-21 | Converter DTC | 1 | as is where is | immediately | ||
81828 | ASM | 2506556-21 | Processor DTC | 1 | as is where is | immediately | ||
108713 | ASM | A400 HT | Vertical Furnace Oxide/Poly | 200 mm | 01.06.2003 | 1 | inquire | immediately |
108255 | ASM | A400 | Vertical furnace, wet oxide process | 200 mm | 01.06.2001 | 1 | as is where is | |
108256 | ASM | A400 | Vertical furnace, wet oxide process | 200 mm | 01.06.2001 | 1 | as is where is | |
108257 | ASM | EAGLE 60 | Gold Ball Bonder | ASSEMBLY | 01.06.2005 | 1 | as is where is | |
108258 | ASM | EAGLE 60 | Gold Ball Bonder | ASSEMBLY | 01.06.2005 | 1 | as is where is | |
108259 | ASM | EAGLE 60 | Gold Ball Bonder | ASSEMBLY | 01.06.2005 | 1 | as is where is | |
108260 | ASM | EAGLE 60 | Gold Ball Bonder | ASSEMBLY | 01.06.2005 | 1 | as is where is | |
108261 | ASM | EAGLE 60 | Gold Ball Bonder | ASSEMBLY | 01.06.2005 | 1 | as is where is | |
108262 | ASM | EAGLE 60 | Gold Ball Bonder | ASSEMBLY | 01.06.2005 | 1 | as is where is | |
108263 | ASM | EAGLE 60 | Gold Ball Bonder | ASSEMBLY | 01.06.2005 | 1 | as is where is | |
108264 | ASM | Siplace CA4 | High volume automatic flip-chip bonder | 200 mm | 01.06.2014 | 1 | as is where is | |
108385 | ASML | TWINSCAN AT:850D | 248nm (KrF) Scanner | 300 mm | 1 | as is where is | ||
108386 | ASML | TWINSCAN XT:1250D | 193nm (ArF) Scanner | 300 mm | 1 | as is where is | ||
108387 | ASML | YieldStar S-100B | Overlay Measurement System | 300 mm | 1 | as is where is | ||
108388 | ASML | YieldStar S-250 | Overlay Measurement System | 300 mm | 1 | as is where is | ||
108389 | ASML | YieldStar S-250 | Overlay Measurement System | 300 mm | 1 | as is where is | ||
108917 | ASML | XT-1700Fi | Immersion Scanner | 300 mm | 01.05.2012 | 1 | as is where is | immediately |
108918 | ASML | XT1250D | ArF Lithography Scanner | 300 mm | 01.05.2004 | 1 | as is where is | immediately |
108151 | ASML | XT1400E | SCANNER(ARF) | 300 mm | 01.06.2005 | 1 | as is where is | |
108152 | ASML | XT1700 Fi | SCANNER(ARF) | 300 mm | 01.06.2006 | 1 | as is where is | immediately |
108927 | ASML | XT1700 Fi | Immersion Lithography System | 300 mm | 01.06.2007 | 1 | as is where is | immediately |
108928 | ASML | XT1250D | DUV Lithography exposure system 193 nm | 300 mm | 01.06.2005 | 1 | as is where is | immediately |
108938 | ASML | AT1100B Twinscan | DUV Lithography exposure system 193 nm | 200 mm and 300 mm | 1 | as is where is | immediately | |
102858 | ASML | PAS5500-300C | DUV Stepper - Lens Unit only | 200 mm | 1 | as is where is | immediately | |
106962 | ASML | PAS5500-400D | i-line scanner (Missing Parts) | 200 mm | 01.06.2004 | 1 | as is where is | immediately |
82176 | Astec | VS3-C2-C2-C2 | Power Supply 2000 watts (-450-CE) | 1 | as is where is | immediately | ||
82227 | Astec | VS3-C2-C2-02 | Power Supply 2000 watts (-450-CE) 5.2V FOR CREDENCE DUO TESTER | Spares | 01.06.2011 | 2 | as is where is | immediately |
83556 | Astec | VS3-C8-A8-02 (-451-CE) | Power Supply 2000 watts | 1 | as is where is | immediately | ||
83557 | Astec | VS1-L3-02 (-435-CE) | Power Supply 1500 watts | 1 | as is where is | immediately | ||
83558 | Astec | VS1-D8-02 (-436-CE) | Power Supply 1500 watts | SPARES | 1 | as is where is | immediately | |
80315 | Astec | VS3-D8-D8-02 | Power Supply 2000 watts | 2 | as is where is | immediately | ||
81873 | Astec | VS1-L3-02 (-335-CE) | Power Supply 1500 watts | 1 | as is where is | immediately | ||
81875 | Astec | VS3-D4-B4-22 (-447-ce) | Power Supply 2000 watts | Spares | 4 | as is where is | immediately | |
81876 | Astec | VS1-L5-02 (-452-ce) | Power Supply | 1 | as is where is | immediately | ||
53270 | Astec Powertec | 9K2-300-372 | Super Switcher Power Supply | Spares | 31.05.1994 | 2 | as is where is | immediately |
107328 | Astech | ATL-100RA | RF Match | Spares | 1 | as is where is | ||
107329 | Astech | ATL-100RA | RF Match | Spares | 1 | as is where is | ||
107330 | Astech | ATL-100RA | RF Match | Spares | 1 | as is where is | ||
107331 | Astech | ATL-100RA | RF Match | Spares | 1 | as is where is | ||
107332 | Astech | ATL-100RA/DT2L | RF Match | Spares | 1 | as is where is | ||
107333 | Astex | AX2107 | RF Generator | Spares | 1 | as is where is | ||
108728 | ASYMTEK | Millenium 620 | Glue Dispense Tool | 1 | as is where is | |||
84765 | Asyst | 1150-V1315S | SMIF Load port 150mm for Lam 4620 | 150 MM | 1 | as is where is | immediately | |
103455 | ASYST | LPT 2200 | SMIF Loader , OEM P/N 9700-5005-01 | 200 mm | 28.02.1998 | 1 | as is where is | immediately |
106802 | Asyst | LPT 2200 | SMIF loader | 200mm | 6 | inquire | ||
106803 | Asyst | Versaport 2200 with shuttle and back plate | SMIF loader | 200mm | 6 | inquire | ||
102551 | Asyst | e-charger | N2 charge | 200mm | 2 | inquire | ||
102552 | Asyst | Indexer 2200 | SMIF loader | 200mm | 3 | inquire | ||
95404 | Asyst | 1150-V1315S | SMIF Load port 150mm for Lam 4620 | 150 MM | 1 | as is where is | immediately | |
91571 | ASYST | SPARTAN EFEM | Wafer Sorter | 300 mm | 01.05.2010 | 1 | as is where is | immediately |
101816 | Asyst | Isoport | Wafer load port | 300mm | 20 | inquire | ||
101817 | Asyst | Versaport pod opener 2200 VPO | SMIF loader | 200mm | 15 | as is where is | immediately | |
102860 | Asyst | PST100 | Wafer Sorter | 200 mm | 31.05.1996 | 1 | as is where is | |
102861 | Asyst | Spartan | EFEM Wafer Sorter | 300 mm | 31.05.1997 | 1 | as is where is | |
77184 | Athena | 16C-B-S-0-23-00 | Temperature / Process controller | 01.02.2012 | 1 | as is where is | immediately | |
108153 | ATI | OAK-1 | Auto Scope Inspection | 01.06.2013 | 1 | as is where is | ||
108265 | ATS | DEX-20A | Chiller | Chiller | 3 | as is where is | ||
98447 | August | 3DI-8000 | wafer bump inspection | 300mm | 1 | as is where is | immediately | |
98448 | August | NSX-95 | 2D Auto inspection system / Macro defect inspection system | 200 mm | 4 | as is where is | immediately | |
101818 | August | NSX105 | Wafer Bumping Inspection System / Macro defect inspection | 200mm | 1 | inquire | ||
106336 | August / Rudolph Technologies | CV9812 | Wafer Carrier inspection tool | 1 | as is where is | immediately | ||
100347 | Autec | ATC-70B-RS | Thermal Shock Tester | 1 | as is where is | immediately | ||
108390 | Aviza | RVP-300 | Vertical Furnace | 300 mm | 1 | as is where is | ||
103517 | AXCELIS | ES3 | Plasma Asher | 300 mm | 1 | as is where is | ||
108901 | Axcelis | Rapid Cure320FC Radiator | UV anneal System | 300 mm | 01.05.2007 | 1 | as is where is | immediately |
108391 | Axcelis | Radiantstrip 320 | Stripper/Asher | 300 mm | 4 | as is where is | ||
108905 | Axcelis | RapidCure 320FC | RPC | 300 mm | 01.12.2005 | 1 | as is where is | immediately |
94591 | Axcelis | B 07 554 | MOTOR GSD 180 TOP | SPARES | 1 | as is where is | ||
94592 | Axcelis | PN 1027-0093-0035 | Beam Shutter DI 14 | SPARES | 1 | as is where is | ||
94595 | Axcelis | PS WX 75 N12 0XX0 M 863742-02 | GLASSMAN High Voltage DC Power Supply | SPARES | 1 | as is where is | ||
94598 | Axcelis | M 860664-01 ED 111897 | High Voltage Glassmann Extraction Power Supply | SPARES | 1 | as is where is | immediately | |
94600 | Axcelis | 1214110 | EMS Power Supply 10 – 60 LAMBDA | SPARES | 1 | as is where is | immediately | |
94602 | Axcelis | P8 KAQ 15PN2 | Quadropol Power Supply High Voltage | SPARES | 1 | as is where is | ||
94603 | Axcelis | Rock | 4 x Kit Air Jet Replacement | SPARES | 1 | as is where is | ||
94604 | Axcelis | Rock | 4 x Kit Air Jet Replacement | SPARES | 1 | as is where is | ||
106639 | AXCELIS | INTEGRA-RS | DRY STRIP | 300 mm | 01.06.2012 | 1 | as is where is | |
108700 | Axcelis | Fusion ES3 | Asher | 300 MM | 01.02.2001 | 1 | inquire | immediately |
72111 | Axcelis | 17091650 | SUPP RACK | Spares | 3 | as is where is | immediately | |
72120 | Axcelis | 17S2467 | DISK | Spares | 1 | as is where is | immediately | |
108729 | AXCELIS | 110000800 | Universal 300mm Disk Cart MAT # 4501422 | 2 | as is where is | |||
91345 | AXCELIS | INTEGRA | ES plasma dry strip system | 300 mm | 1 | as is where is | ||
102862 | Axcelis Eaton | GSD100 | Gyro Disk for GSD100 | 200 mm | 2 | as is where is | ||
102864 | Axcelis Fusion | 200PCU | UV Stabilizer/Bake | 200 mm | 1 | as is where is | ||
102865 | Axcelis Fusion | 200PCU | UV Stabilizer/Bake | 200 mm | 1 | as is where is | ||
102866 | Axcelis Fusion | 200PCU | UV Stabilizer/Bake | 200 mm | 1 | as is where is | ||
102867 | Axcelis Fusion | 200PCU | UV Stabilizer/Bake | 200 mm | 1 | as is where is | ||
102868 | Axcelis Fusion | GPS | Dual Chamber UV Stabilizer/Bake | 200 mm | 1 | as is where is | ||
108934 | AXUS Technologies | Capstone | CMP System, Tungsten | 200 mm | 01.06.2005 | 1 | as is where is | immediately |
108935 | AXUS Technologies | Capstone | CMP System, Oxide | 200 mm | 01.06.2005 | 1 | as is where is | immediately |
100888 | Baccini | Furnace 3 | Drying Furnace | Solar | 31.05.2008 | 1 | as is where is | immediately |
56142 | Baccini | Screen printers | Printers and Dryers | 156 mm | 01.06.2001 | 1 | as is where is | immediately |
56145 | Baccini | SUN SIMULATOR / CELL TESTER | Cell Tester | 156mm | 01.06.2006 | 1 | as is where is | immediately |
56146 | Baccini | Sorter 1 | Cell Sorter 1 | 156 mm | 01.06.2006 | 1 | as is where is | immediately |
98708 | Baccini | Furnace 1 | Drying Furnace | Solar | 31.05.2008 | 1 | as is where is | immediately |
98709 | Baccini | Furnace 2 | Drying Furnace | Solar | 31.05.2008 | 1 | as is where is | |
98710 | Baccini | Test 1 | Solar Cell Inspection | Solar | 31.05.2008 | 1 | as is where is | immediately |
98711 | Baccini | Test 2 | Icos Solar Cell Inspection | Solar | 31.05.2008 | 1 | as is where is | immediately |
98712 | Baccini | Test 3 | Automatic Cell Sorter | Solar | 31.05.2008 | 1 | as is where is | immediately |
98713 | Baccini | Wafer Boats | Spares for Baccini solar cell manufacturing line | Solar | 01.05.2008 | 100 | as is where is | immediately |
98715 | Baccini | Printer 1 | Screen Printer | Solar | 31.05.2008 | 1 | as is where is | immediately |
98716 | Baccini | Printer 2 | Screen Printer | Solar | 31.05.2008 | 1 | as is where is | immediately |
98717 | Baccini | Printer 3 | Screen Printer | Solar | 31.05.2008 | 1 | as is where is | immediately |
108703 | Baccini | Softline | Screen printer | Solar | 01.06.2009 | 1 | inquire | immediately |
77009 | Baccini | Screen Printer 2 | screen printer | 156 mm | 31.05.2001 | 1 | as is where is | immediately |
77010 | Baccini | Screen Printer 3 | screen printer | 156 mm | 31.10.2001 | 1 | as is where is | immediately |
77012 | Baccini | Screen Printer 1 | screen printer | 156 mm | 01.11.2001 | 1 | as is where is | immediately |
77013 | Baccini | CHIP AND CRACK CAMERA | Chip and Crack camera | 156 mm | 31.05.2007 | 1 | as is where is | immediately |
77017 | Baccini | Cell electrical tester | Electrical Cell tester | 156 mm | 31.05.2006 | 1 | as is where is | immediately |
77018 | Baccini | Sorter 2 | Cell Sorter 2 | 156 mm | 01.06.2006 | 1 | as is where is | immediately |
77019 | Baccini | Sorter - Furnace Output | Cell Sorter - Furnace output | 156 mm | 01.06.2006 | 1 | as is where is | immediately |
77020 | Baccini | SUN SIMULATOR / CELL TESTER | Cell Tester | 156 mm | 01.06.2006 | 1 | as is where is | immediately |
103388 | Baccini | Oven 3 Unload Buffer FFF | Unload buffer unit from Oven 3 and FFF loader | Solar | 31.05.2008 | 1 | as is where is | immediately |
77021 | Baccini | Dryer 1 | Dryer 1 | 156 mm | 31.10.2001 | 1 | as is where is | immediately |
77022 | Baccini | Dryer 2 | Dryer 1 | 156 mm | 31.10.2001 | 1 | as is where is | immediately |
108730 | BAUSCH & LOMB | StereoZoom 4 | Microscope on Boom Stand, 0.7-3X with 10X W.F. Stereo Eyepieces | 1 | as is where is | |||
108731 | BAUSCH & LOMB | StereoZoom 4 | Microscope on Small Benchtop Stand, 0.7-3X with 15X W.F. Eyepieces | 1 | as is where is | |||
108732 | BAUSCH & LOMB | StereoZoom 6 Plus | Microscope, 0.67-4.0X with WF 10X/21 Eyepieces | 1 | as is where is | |||
108733 | BAUSCH & LOMB | StereoZoom 7 | Microscope on Boom Stand, 1-7X with 15X Eyepieces and 0.67X Adapter Lens | 1 | as is where is | |||
107334 | Baxter | Sealing Head | Sealing | Spares | 1 | as is where is | ||
107335 | Baxter | Sealing Head | Sealing | Spares | 1 | as is where is | ||
107336 | Baxter | Sealing Head | Sealing | Spares | 1 | as is where is | ||
107337 | Baxter | Sealing Head | Sealing | Spares | 1 | as is where is | ||
83589 | Beckhoff | BK9000 | Ethernet interface module | Spares | 1 | as is where is | immediately | |
83594 | Beckhoff | KL9050 | PLC Module | 1 | as is where is | immediately | ||
84213 | Beckhoff | KL9020 | Ethernet interface | Spares | 2 | as is where is | immediately | |
84379 | BELDEN 8219 | IC20 | BRAID R-58A/U | 1 | as is where is | immediately | ||
77095 | Bellows | 10.01.06.00878 | Bellows suction cup 9mm, pack of 12 | 1 | as is where is | |||
98718 | Berger | PSS10 | Pulsed Solar Simulator | Solar | 31.05.2008 | 1 | as is where is | immediately |
108266 | BESI | X-Eye SF160 SL | X-RAY INSPECTION FOR PCBS | ASSEMBLY | 01.06.2014 | 1 | as is where is | |
106971 | Betta tech | CU500 | Process Module Chiller | chiller | 1 | immediately | ||
77169 | Bimba Ultran | US-1737.125-S | Rodless Pneumatic Actuator | Spares | 1 | as is where is | immediately | |
77170 | Bimba Ultran | US-1734.125-S | Rodless Pneumatic Actuator | Spares | 1 | as is where is | immediately | |
108800 | BIO-RAD | ECN4900PC | Profilers, 2ea Available - Parts Only | 1 | inquire | |||
108801 | BIO-RAD | Q7 | Overlay Metrology Tool | 1 | inquire | |||
108802 | BIO-RAD | Q8 | Overlay Metrology / CD Measurement Tool for up to 200mm Wafers | 1 | inquire | |||
108734 | Bio-Rad | Q8 | Overlay Metrology | 1 | as is all rebuilt | 1 month | ||
108735 | Bio-Rad | QS-1200 | FT-IR Spectrometer | 100-200 mm | 01.05.2004 | 1 | as is where is | immediately |
108736 | Bio-Rad | QS-300 | FT-IR Spectrometer | 1 | as is where is | immediately | ||
108737 | BIORAD | Q5 | Overlay Metrology Tool | 200 mm | 2 | as is where is | immediately | |
107338 | Bird | Bird Meter | Miscellaneous | Spares | 1 | as is where is | ||
107339 | Bird | Dummy Load | Miscellaneous | Spares | 1 | as is where is | ||
107340 | Bird | Power Meter | Miscellaneous | Spares | 1 | as is where is | ||
107341 | Bird | Power Meter | Miscellaneous | Spares | 1 | as is where is | ||
107342 | Bird | Power Sensor | Miscellaneous | Spares | 1 | as is where is | ||
107343 | Bird | Power Sensor | Miscellaneous | Spares | 1 | as is where is | ||
107344 | Bird | Power Sensor | Miscellaneous | Spares | 1 | as is where is | ||
107345 | Bird | Power Sensor | Miscellaneous | Spares | 1 | as is where is | ||
107346 | Bird | Power Sensor | Miscellaneous | Spares | 1 | as is where is | ||
107347 | Bird | Power Sensor | Miscellaneous | Spares | 1 | as is where is | ||
107348 | Bird | Power Sensor | Miscellaneous | Spares | 1 | as is where is | ||
107349 | Bird | Power Sensor | Miscellaneous | Spares | 1 | as is where is | ||
106427 | Blue M | CC-13-C-P-B | Blue M CC-13-C-P-B | 150 mm/200 mm | 1 | as is where is | ||
106429 | Blue M | DCC -1406-E-MP550 | Blue M Oven | 150 mm/200 mm | 1 | as is where is | immediately | |
106430 | Blue M | DCC 1406 E MP550 | Blue M Oven | 150 mm/200 mm | 1 | as is where is | immediately | |
106431 | Blue M | DCC-1406-B-MP550 | Blue M Oven | Facilities | 1 | as is where is | immediately | |
106641 | BMR | HIDEP | PECVD | N/A | 01.06.2006 | 1 | as is where is | |
108803 | BOONTON | 72B | Capacitance Meter | SPARES | 1 | inquire | ||
102643 | BPS | Cyberite | Ion Beam Deposition Tool | 125 mm | 31.05.1999 | 1 | inquire | immediately |
108804 | BRANSON/IPC | Series 4000 | Plasma Barrel Stripper, 10" X 20" Quartz Chamber, S4075-11020 Reactor Center | 1 | inquire | |||
108738 | BRANSON/IPC | 4055/2 | Plasma Surface Treatment Tool w/Shelf Electrodes, 2ea Available | 1 | as is where is | |||
106772 | Brooks | Mag 7 | Robot | Spares | 1 | as is where is | immediately | |
106804 | Brooks | ATR-8 robot alone | LAM tool | 300mm | 1 | inquire | ||
106805 | Brooks | load port | Fixload 6M, Vision, Fixload 25 | 300mm | 10 | inquire | ||
106851 | Brooks | MAG 7 | Wafer Handling Robot | Spares | 1 | as is where is | immediately | |
108392 | Brooks | MTX2000 | Wafer Sorter | 300 mm | 1 | as is where is | ||
106892 | Brooks | MAG 7 | Wafer Handling Robot qty 2 | Spares | 2 | as is where is | immediately | |
106642 | BROOKS | METARA 7200 | Overlay | 200 mm | 01.06.1996 | 1 | as is where is | |
106643 | BROOKS | SORTER MTX 2000 | Wafer Handler | 200 mm | 1 | as is where is | ||
106644 | BROOKS | SORTER MTX 2000 | Wafer Handler | 200 mm | 1 | as is where is | ||
83862 | Brooks | TT1ENR2-1 | Brooks robot Teach Pendant TT1ENR2-1-TVS-ES-Brooks8 | 1 | as is where is | immediately | ||
102553 | Brooks | DBM 2407 V2 | Dual arm Atmospheric wafer handling robot | 200/300mm | 2 | as is where is | ||
108739 | Brooks | Multitran 5 | 3 Axis Robot, Rebuilt | spares | 1 | as is where is | immediately | |
106948 | Brooks | Fixload 6M | SMIF Load Port | 300 mm | 8 | as is where is | immediately | |
74209 | Brooks | 5850 | MFC Mass flow controller | spares | 01.01.2009 | 2 | as is where is | immediately |
108267 | Brooks | MagnaTran 7 | ROBOT | SPARES | 1 | as is where is | ||
108268 | Brooks | MTX2000/2 | WAFER SORTER | 300 mm | 01.06.2001 | 1 | as is where is | |
108024 | BROOKS | MTX2000 | WAFER SORTER | 300 mm | 01.06.2003 | 1 | as is where is | |
106807 | Brooks / PRI | ABM/ATM 405 | single arm atmospheric wafer handling robot | 200/300mm | 5 | as is where is | immediately | |
106808 | Brooks / PRI | ABM/ATM 407B | single arm atmospheric wafer handling robot | 200/300mm | 5 | as is where is | immediately | |
106812 | Brooks / PRI | DBM 2706 | Dual arm Atmospheric wafer handling robot | 200/300mm | 2 | as is where is | immediately | |
106105 | Brooks / PRI | ATM-207-2S-CE | Single arm atmospheric wafer handling robot | 200/300mm | 01.03.2004 | 1 | as is where is | 2 weeks |
98453 | Brooks / PRI | DBM 2406 | Dual arm Atmospheric wafer handling robot | 200/300mm | 4 | as is where is | immediately | |
98456 | Brooks / PRI | Mag 8 | Vacuum Robot( From an AMAT Producer GT) AMAT p/n 0190-25011 REV 001 | 300 mm | 1 | as is where is | immediately | |
103821 | Brooks Automation | DBM2407-V2 | Dual Arm Robot | Spares | 31.12.2006 | 1 | inquire | immediately |
103822 | Brooks Automation | DBM2407-V2 | Dual Arm Robot | Spares | 31.12.2006 | 1 | inquire | immediately |
108740 | BROOKS AUTOMATION | ATM-305 | 3 Axis Robot, Refurbished | spares | 1 | as is where is | immediately | |
108042 | Brooks etc | Spare Parts | Various Robots, RF generators and Rf Matches for sale | Spares | 1 | as is where is | immediately | |
106806 | Brooks PRI | ABM 205 | single arm atmospheric wafer handling robot | 200/300mm | 2 | inquire | ||
106809 | Brooks PRI | ATM 204/207 | single arm atmospheric wafer handling robot | 200/300mm | 6 | inquire | ||
106810 | Brooks PRI | ATM 305/307 | single arm atmospheric wafer handling robot | 200/300mm | 2 | inquire | ||
106811 | Brooks PRI | ATM/ABM 105/107/107B | single arm atmospheric wafer handling robot | 200/300mm | 10 | inquire | ||
106813 | Brooks PRI | EDC-2400/2800 | PRI robot controller(dual arm robot) | 150/200/300 | 5 | inquire | ||
106814 | Brooks PRI | PRE 200/200B/201/201B | wafer pre-aligner | 200mm | 2 | inquire | ||
106815 | Brooks PRI | PRE 300/300B/301/301B | wafer pre-aligner | 300mm | 2 | inquire | ||
98449 | Brooks PRI | ABM 405 | single arm atmospheric wafer handling robot | 200/300mm | 3 | as is where is | ||
98457 | Brooks PRI | PRE 200/200B | wafer pre-aligner | 200mm | 3 | as is where is | ||
102555 | Brooks PRI | ESC-200/212/212B/222/218 | PRI robot controller( single arm robot) | 150/200/300 | 10 | inquire | ||
108269 | Brown & Sharpe | Reflex 454 | CMM Coordinate measuring machine | ASSEMBLY | 01.06.1997 | 1 | as is where is | |
108270 | Bruce | BDF-41 | Horizontal diffusion furnace, 4 tube, ATM Ox | 200 mm | 01.06.2001 | 1 | as is where is | |
108025 | BRUKER | VERTEX 80V | FT-IR Spectrometer | 1 | as is where is | |||
108702 | BTU | PV614 | Fast Firing Furnace | Solar | 01.06.2013 | 1 | inquire | |
108644 | Buehler | Ecomet 6 | Variable speed benchtop grinder | 150 mm/200 mm | 01.06.2006 | 1 | as is where is | immediately |
108393 | C&D Semiconductor | P8800 | Coat and Develop Track | 200 MM | 1 | as is where is | ||
84378 | CABLE | 3 | as is where is | immediately | ||||
80256 | CAJON | SS-4-VC0 | FITTINGS | 13 | as is where is | immediately | ||
80258 | CAJON | SS-8-VC0-4 | FITTINGS | 5 | as is where is | immediately | ||
77154 | Cam York | ST-17-08-153-01-154-01-0-00-C010(1) | Centrifual Blower Motor 1/8hp 220V 0.65A 30 RPM | Spares | 1 | as is where is | immediately | |
108063 | Cambridge | EBMF 10.5 | E-Beam Lithography | 1 | as is where is | |||
108394 | CAMECA | EX-300 | Implant Dosing Measurement | 300 mm | 1 | as is where is | ||
106645 | CAMECA | EX300 | Secondary Ion Mass Spectrometer | 300 mm | 1 | as is where is | ||
84244 | Camloc | RS-182 | GAS SPRING | 2 | as is where is | immediately | ||
53020 | CANON | Fujitsu denso 4250-E921 | Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
53021 | Canon | Fujitsu Denso 4250-E921 | Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
53023 | Canon | Fujitsu Denso 4250-E921 | Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
106020 | Canon | BG3-3868-000 | MBD Unit (Masking Blade Driver) for Canon FPA5000ES2/ES2+ | Spares | 30.06.1999 | 1 | as is where is | immediately |
84774 | Canon | Chuck, 8 inch | Wafer Chuck for EX3, EX4, i4, i5 | 200 mm | 30.04.1999 | 1 | as is where is | immediately |
52262 | Canon | 6736A | reticle handling robot for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 | spares | 30.06.1999 | 1 | as is where is | immediately |
53032 | Canon | Omron APR-S | Reverse Phase Relay for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | |
52265 | Canon | video impedance adapter | 5 channel video impedance adapter | spares | 30.06.1999 | 1 | as is where is | immediately |
99884 | Canon | FPA-5000 ES3 | Spare Parts | 300 mm | 1 | as is where is | immediately | |
53041 | Canon | spares | Wafer Cassette holder for FPA 3000 SERIES iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | |
53042 | Canon | FPA 3000 series | Cassette holder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | |
53045 | Canon | Fuji Electric PE-LA 5 D | Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 3 | as is where is | immediately | |
53046 | Canon | Fuji Electric PE-LA 5 D | Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | |
53047 | Canon | Fuji Electric PE-LA 5 D | Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | |
53049 | Canon | BH8-1768-02 | Library Sub Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 31.05.1999 | 1 | as is where is | immediately |
53050 | Canon | BH8-1818-01 | LD/PD board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
103739 | CANON | BG4-6746 BH8-1822-01 BG8-2683 | WF/RC IF PCB FOR CANON I-LINE STEPPERS | Spares | 31.10.1996 | 1 | as is where is | immediately |
103741 | CANON | ROCKY KS-112 | SCSI EXT PCB FOR CANON FPA SERIES I-LINE STEPPERS | SPARES | 31.10.1996 | 1 | as is where is | immediately |
53056 | Canon | BG9-4757, BH8--1069-01 | AF DRV board for Canon FPA 1550 series | spares | 1 | as is where is | immediately | |
53057 | Canon | BG9-4760, BG83111, BH8-1071-02 | SH/RH board for Canon FPA 1550 | spares | 30.06.1999 | 1 | as is where is | immediately |
53058 | Canon | BG9-4761, BG8-3112, BH8-1071-01 | SH/RH board FOR CANON FPA SERIES STEPPERS | spares | 30.06.1999 | 1 | as is where is | immediately |
53059 | Canon | BH8-1073-01, BG9-4763, BG8-3114 | PA SENSER board | spares | 30.06.1999 | 1 | as is where is | immediately |
53060 | Canon | BG9-4764, BH8-1074-01 | PA CCD board for Canon FPA series steppers | spares | 30.06.1999 | 1 | as is where is | immediately |
53061 | Canon | BG9-4762, BG8-3113, BH8-1072-01 | PA STAGE board for Canon 1550MarkIV series | spares | 30.06.1995 | 1 | as is where is | immediately |
53062 | Canon | BG9-4758, BG8-3109, BH8-1070-02 | SC/RC board for Canon FPA series steppers | spares | 30.06.1999 | 1 | as is where is | immediately |
53063 | Canon | BG9-4759, BG8-3110, BH8-1070-02 | SC/RC board for Canon fpa | spares | 30.06.1999 | 1 | as is where is | immediately |
53066 | Canon | BG4-6365 | PPC Pellicle Particle Checker Unit for FPA3000 series stepper iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.04.1997 | 1 | as is where is | immediately |
53074 | Canon | FPA 3000 series extended reticle library | extended reticle library | 6 inch | 01.06.1998 | 1 | as is where is | immediately |
108395 | Canon | FPA-6000 ES5 | 248nm (KrF) Scanner | 300 mm | 1 | as is where is | ||
52338 | Canon | BH8-2017-01 | IL3-CD-PCB for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52341 | Canon | BH8-1980-01 | EASRCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52342 | Canon | BH8-1979-01 | EASLCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
108919 | Canon | FPA-6000 ES5 | 248 nm (KrF) excimer exposure system | 300 mm | 01.05.2003 | 1 | as is where is | immediately |
103800 | Canon | BG3-7842 | STG CD4 PCB, for Canon FPA5000 ES3 scanner | Spares | 1 | as is where is | immediately | |
80249 | CANON | BG4-7001 | BH8-1837-01 PCB | 1 | as is where is | immediately | ||
52346 | Canon | BH8-2022-01, BG8-3369, BG4-8680 | EXP-CD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52347 | Canon | BH8-1938-01BG4-9386 BG8-2694 | CD90-CD PCB assembly | spares | 1 | as is where is | immediately | |
103804 | Canon | BG4-6466-000 | ZMI 1000 A Board (Y) | Spares | 1 | immediately | ||
52348 | Canon | BH8-2065-02, BG4-8805, BG8-3375 | CD90-INTLK board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
80253 | Canon | FPA1550 MK4 (Spares) | Targetting lenses for Canon Stepper qty 2 | SPARES | 31.05.1995 | 2 | as is where is | immediately |
52359 | Canon | FPA 3000 series | HP-UX Workstation A2615A 9.05 10.20 11.00 11.00 11i | spares | 31.01.1997 | 1 | as is where is | immediately |
52360 | Canon | Zenith ZPS-250 | Multiple voltage power supply 250Watts | spares | 30.06.1999 | 1 | as is where is | immediately |
52365 | Canon | Shimaden SR25-2P-N-00699609 | PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 2 | as is where is | immediately |
52366 | Canon | Shimaden SR25-2P-N-00699609 | PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52367 | Canon | Chino ES-600 | Chart Recorder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
103826 | Canon | BG3-2428-000 | 8 inch and12 inch PIN wafer chuck ASS’Y ( FPA 5000 ES2, ES2+, ES3, ES4) | Spares | 31.05.2000 | 1 | inquire | immediately |
52379 | CANON | FUJITSU DENSO 4247-E924 | 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52380 | CANON | Fujitsu Denso 4247-E924 | 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52381 | CANON | Fujitsu Denso 4247-E924 | 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52382 | CANON | FUJITU DENSO 4247-E924 | 1ch power supply module for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52384 | Canon | Fujitsu Denso 4247-E924 | 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | |
102059 | Canon | FPA 3000 SERIES i4 i5 EX3 EX4 EX5 EX6 | Various Spare Parts and lenses for Canon FPA-3000 Series | spares | 01.05.1998 | 27 | as is where is | immediately |
105904 | Canon | BG3-3822 | DMP-PREAMP PCB | Spares | 01.05.2000 | 1 | as is where is | immediately |
105905 | Canon | BG3-3823 | DMP-DSP PCB | Spares | 01.05.2000 | 1 | as is where is | immediately |
52153 | Canon | Chuck Tool | Chuck Tool for EX3, EX4, i4, i5 | 200 mm | 30.04.1999 | 1 | as is where is | immediately |
84412 | CANON | FPA 1550 Mark IV (Spares) | Wafer transfer arm for CANON FPA 1550 MARK 4 | 150 mm | 1 | as is where is | immediately | |
95427 | CANON | FPA2500i3 | i-Line Stepper | 150 mm | 01.05.1995 | 1 | as is where is | immediately |
95428 | Canon | FPA-2500i2 | i Line Stepper | 150mm | 1 | as is where is | immediately | |
98502 | Canon | APT-5800 | AP CVD | 200 mm | 1 | as is where is | ||
98503 | Canon | APT-5800 | AP CVD | 200 mm | 1 | as is where is | ||
98504 | Canon | APT-5800 | AP CVD | 200 mm | 1 | as is where is | ||
98505 | Canon | APT-5800 | AP CVD | 200 mm | 1 | as is where is | ||
106205 | Canon | FPA3000 EX3 (Spares) | Complete Illuminator Assembly | Spares | 01.06.1998 | 1 | as is where is | immediately |
52446 | Canon | Fujitsu Denso 4249-E922 | 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52447 | CANON | Fujitsu Denso 4249-E923 | 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
52448 | CANON | FUJITSU DENSO 4248-E922 | 2 channels power supply module for canon fpa 3000 series | spares | 30.06.1999 | 1 | as is where is | immediately |
52450 | Canon | Fuji Denso 4248-E921 | 2 channels power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately |
106229 | Canon | BG4-8595 | FPA 3000 series, iA scope objective lens unit, RIGHT | Spares | 01.06.1998 | 1 | as is where is | immediately |
106230 | Canon | BG4-8594 | FPA 3000 SERIES iA scope objective lens unit, Left | Spares | 01.06.1998 | 1 | as is where is | immediately |
106231 | Canon | BG4-85?? | FPA 3000 series iA scope relay lens unit, Right | Spares | 01.06.1998 | 1 | as is where is | immediately |
106232 | Canon | BG4-8599 | FPA 3000 series iA scope shutter unit | Spares | 01.06.1998 | 1 | as is where is | immediately |
106233 | Canon | FPA 3000 EX3/4/5/6 (Spares) | FPA 3000 EX series iA scope lens unit | Spares | 01.06.1998 | 1 | as is where is | immediately |
106234 | Canon | BG4-8601 | Electro-Optical part for FPA 3000 EX series iA scope | Spares | 01.06.1998 | 1 | as is where is | immediately |
105979 | Canon | BG4-6736 | Reticle handling robot for ES2 ES3 ES4 i5 etc | Spares | 01.05.2000 | 1 | as is where is | 1 month |
106235 | Canon | iA scope for FPA 3000 Ex4,5,6 (Spares) | Texas Instruments MC780P CCD EX Camera (Left) | Spares | 01.06.1998 | 1 | as is where is | immediately |
106236 | Canon | iA scope for FPA 3000 Ex4,5,6 (Spares) | Texas Instruments MC780P CCD EX Camera (Right) | Spares | 01.06.1998 | 1 | as is where is | immediately |
106237 | Canon | iA scope for FPA 3000 Ex4,5,6 (Spares) | Issoku FG199 lead screw | Spares | 01.06.1998 | 1 | as is where is | immediately |
106238 | Canon | BG4-8597 | Electro-optical assembly from iA scope for FPA 3000 Ex4,5,6 | Spares | 01.06.1998 | 1 | as is where is | immediately |
106646 | CARL ZEISS | S-INDUSTRIAL | 193 NM LASER | FACILITIES | 1 | as is where is | ||
108154 | CDE | RESMAP_273 | Resistivity measurement | 1 | as is where is | |||
83551 | Celerity | UFC-1660 | MFC C2F6 5SLPM | 01.08.2009 | 1 | as is where is | immediately | |
107350 | Celtec | CD1-12 | Power Supply | Spares | 1 | as is where is | ||
107351 | Celtec | CD1-12 | Power Supply | Spares | 1 | as is where is | ||
107352 | Celtec | CK180-6 | RF Generator | Spares | 1 | as is where is | ||
107353 | Celtec | CK180-6 | RF Generator | Spares | 1 | as is where is | ||
107354 | Celtec | CM13 | RF Generator | Spares | 1 | as is where is | ||
56140 | CentroTherm | DO 12.000-200-FF-HTO-CAN-NT4.0 | Fast Firing Funace with Dryer | 156mm | 31.05.2001 | 1 | as is where is | immediately |
56144 | Centrotherm | Centronic E2000 | Horizontal diffusion furnace for POCl3 doping | 156 mm | 01.05.2003 | 1 | as is where is | immediately |
103801 | Centrotherm | DO-FF-8600-300 | Fast Firing Furnace | Solar | 1 | as is where is | immediately | |
77190 | Centrotherm | Quartz Door | Centrotherm/semco(?) Quartz Door, new | 156mm | 1 | as is where is | immediately | |
98720 | Centrotherm | DO-FF-8600-300 | Fast Firing Furnace | Solar | 31.05.2008 | 1 | as is where is | immediately |
98721 | Centrotherm | E 2000 HT 300-4 | Horizontal Diffusion Furnace for POCl3 doping | Solar | 31.05.2008 | 1 | as is where is | immediately |
98722 | Centrotherm | E 2000 HT 320-4 | Nitride Diffusion Furnace for Anti-refective coating deposition | Solar | 31.05.2008 | 1 | as is where is | immediately |
98723 | Centrotherm | Gas Box | Auto Refill System | Solar | 31.05.2008 | 1 | as is where is | immediately |
108708 | Centrotherm | E2000 320-4 | Horizontal Diffusion Furnace, 4 tube, SiN and SiON processes | Solar | 01.06.2004 | 1 | inquire | |
98725 | Centrotherm | Loader | Furnace Loader | Solar | 31.05.2008 | 1 | as is where is | immediately |
108805 | CHA | SEC-1000 | E-Beam Evaporator with CV-8 Power Supply | 1 | inquire | |||
83874 | CKD | M4SB080-M5 | AHM-850 SOLENOID VALVE | 5 | as is where is | immediately | ||
83870 | CKD CYCLINDER | CSD2-L-32-20 | CYLYNDER | SPARES | 0 | as is where is | immediately | |
83871 | CKD CYCLINDER | CSD2-L-32-20 | CYLYNDER | SPARES | 1 | as is where is | immediately | |
103731 | CKPlas | TS-MT510-J, TS-MT610-J | Transfer Solar Wafer Cassette | 100 | as is where is | immediately | ||
21122 | CLEAN ROOM TABLE | CLEANROOM TABLE | STEEL CLEANROOM TABLE | FACILITIES | 1 | as is where is | immediately | |
107355 | Coaxial Power Systems | RFG 500-380 | RF Generator | Spares | 1 | as is where is | ||
107356 | Coaxial Power Systems | RFG 500-380KR | RF Generator | Spares | 1 | as is where is | ||
107357 | Coaxial Power Systems | RFG 500-380KR | RF Generator | Spares | 1 | as is where is | ||
80083 | COLUSSI | UG 50 E | AUTOCLAVE FOR STERILIZATION | Laboratory | 01.06.2000 | 1 | as is where is | immediately |
107358 | Comdel | CB300 | RF Generator | Spares | 1 | as is where is | ||
107359 | Comdel | CB300 | RF Generator | Spares | 1 | as is where is | ||
107360 | Comdel | CB300 | RF Generator | Spares | 1 | as is where is | ||
107361 | Comdel | CB300 | RF Generator | Spares | 1 | as is where is | ||
107362 | Comdel | CB4000 | RF Generator | Spares | 1 | as is where is | ||
107363 | Comdel | CB4000 | RF Generator | Spares | 1 | as is where is | ||
107364 | Comdel | CB5000 | RF Generator | Spares | 1 | as is where is | ||
107365 | Comdel | CB5000 | RF Generator | Spares | 1 | as is where is | ||
107366 | Comdel | CB5000 | RF Generator | Spares | 1 | as is where is | ||
107367 | Comdel | CB600 | RF Generator | Spares | 1 | as is where is | ||
107368 | Comdel | CB600 | RF Generator | Spares | 1 | as is where is | ||
107369 | Comdel | CB600 | RF Generator | Spares | 1 | as is where is | ||
107370 | Comdel | CB600A | RF Generator | Spares | 1 | as is where is | ||
107371 | Comdel | CB600A | RF Generator | Spares | 1 | as is where is | ||
107372 | Comdel | CB600A | RF Generator | Spares | 1 | as is where is | ||
107373 | Comdel | CB600A | RF Generator | Spares | 1 | as is where is | ||
107374 | Comdel | CB600S | RF Generator | Spares | 1 | as is where is | ||
107375 | Comdel | CD-1500 | RF Generator | Spares | 1 | as is where is | ||
107376 | Comdel | CDX-1000 | RF Generator | Spares | 1 | as is where is | ||
107377 | Comdel | CLF 5000 | RF Generator | Spares | 1 | as is where is | ||
107378 | Comdel | CLF 5000 | RF Generator | Spares | 1 | as is where is | ||
107379 | Comdel | CLX 600 | RF Generator | Spares | 1 | as is where is | immediately | |
107380 | Comdel | CLX 600H | RF Generator | Spares | 1 | as is where is | ||
107381 | Comdel | CLX 600H | RF Generator | Spares | 1 | as is where is | ||
107382 | Comdel | Controller | Miscellaneous | Spares | 1 | as is where is | ||
107383 | Comdel | CPM 1000 | RF Match | Spares | 1 | as is where is | ||
107384 | Comdel | CPM-1000/REMOTE | Miscellaneous | Spares | 1 | as is where is | ||
107385 | Comdel | CPM-5000/REMOTE | Miscellaneous | Spares | 1 | as is where is | ||
107386 | Comdel | CPM-5000/REMOTE | Miscellaneous | Spares | 1 | as is where is | ||
107387 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous | Spares | 1 | as is where is | ||
107388 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous | Spares | 1 | as is where is | ||
107389 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous | Spares | 1 | as is where is | ||
107390 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous | Spares | 1 | as is where is | ||
107391 | Comdel | CPMX-1000 | RF Match | Spares | 1 | as is where is | ||
107392 | Comdel | CPMX-1000 | RF Match | Spares | 1 | as is where is | ||
107393 | Comdel | CPMX-1000 | RF Match | Spares | 1 | as is where is | ||
107394 | Comdel | CPMX-1000 | RF Match | Spares | 1 | as is where is | ||
107395 | Comdel | CPS 1000 | RF Generator | Spares | 1 | as is where is | ||
107396 | Comdel | CPS 3000 | RF Generator | Spares | 1 | as is where is | ||
107397 | Comdel | CV 1000 | RF Generator | Spares | 1 | as is where is | ||
107398 | Comdel | CV 1000 | RF Generator | Spares | 1 | as is where is | ||
107399 | Comdel | CV 1000 | RF Generator | Spares | 1 | as is where is | ||
107400 | Comdel | CV 500 | RF Generator | Spares | 1 | as is where is | ||
107401 | Comdel | CV 500 | RF Generator | Spares | 1 | as is where is | ||
107402 | Comdel | CX 2500S | RF Generator | Spares | 1 | as is where is | ||
107403 | Comdel | CX 600H | RF Generator | Spares | 1 | as is where is | ||
107404 | Comdel | CX2500 | RF Generator | Spares | 1 | as is where is | ||
107405 | Comdel | CX2500 | RF Generator | Spares | 1 | as is where is | ||
107406 | Comdel | CX2500 | RF Generator | Spares | 1 | as is where is | ||
107407 | Comdel | CX2500S | RF Generator | Spares | 1 | as is where is | ||
107408 | Comdel | ESC-2 | Power Supply | Spares | 1 | as is where is | ||
107409 | Comdel | ESC-2 | Power Supply | Spares | 1 | as is where is | ||
107410 | Comdel | LFMN8/5 | RF Match | Spares | 1 | as is where is | ||
108562 | COMET | 10007680 | RF Generator | SPARES | 1 | as is where is | immediately | |
106195 | Complete | G5 | OLED Flat Panel Display line with TFT (LPTS) and Cell lines | G5 | 01.06.2012 | 1885 | as is where is | immediately |
53025 | COMPUMOTOR | M57-51 | Lead screw | spares | 1 | as is where is | immediately | |
82179 | Concoa | 806 6530 | Singe stage Oxygen regulator valve w/ guage | 1 | as is where is | immediately | ||
84021 | Condor | B24-1.2 | dc power supply | 1 | as is where is | immediately | ||
21665 | CONDOR | HCC15 3A + | POWER SUPPLY UNIT FOR KLA 7700 SURFSCAN | SPARES | 31.01.1996 | 1 | as is where is | immediately |
108741 | Contamination Control | Desiccator boxes, 10ea Available | Facilities | 10 | as is where is | immediately | ||
99395 | Convac | CBA-M-2000-U | Photoresist coater | 31.01.1995 | 1 | as is where is | immediately | |
108271 | Convac | Falcon | Photoresist track with 2 Developers, Polyimide | 150 mm /200 mm | 01.06.1996 | 1 | as is where is | |
13143 | COSEL | MMC100-2 | POWER SUPPLY | SPARES | 2 | as is where is | immediately | |
108742 | CR TECHNOLOGY | UF160-0 | Xray System | 1 | as is where is | |||
83978 | Credence | Kalos Hex | Automated test system | 200 mm | 1 | as is where is | immediately | |
83979 | Credence | Kalos Hex | Automated test system | 200 mm | 1 | as is where is | immediately | |
83980 | Credence | Quartet | Automated test system | 200 MM | 1 | as is where is | immediately | |
78638 | Credence | Duo SX (Spare Parts) | SPARE PARTS FROM AUTOMATED TEST SYSTEM | test | 1 | as is where is | immediately | |
87089 | Credence | Personal Kalos I | Test system | TEST | 31.10.2002 | 1 | as is where is | immediately |
84278 | Credence | 671-4394-01 | Test head connector board | Spares | 01.01.1999 | 2 | as is where is | immediately |
84279 | Credence | 671-4270-02 | Capture Processor board 1A | Spares | 01.01.1999 | 1 | as is where is | immediately |
84280 | Credence | 671-4394-04 | Test head connector board | Spares | 01.01.1999 | 1 | as is where is | immediately |
84281 | Credence | 672-6051-01 | Support Module Interface | 01.01.1999 | 1 | as is where is | immediately | |
80211 | Credence | Duo SX PC | Credence Duo SX Main PC | 2 | as is where is | immediately | ||
83559 | Credence | 671-4276-50 | PIN card FOR CREDENCE DUO SX TESTER | Spares | 01.01.1999 | 3 | as is where is | immediately |
83819 | Credence | 671-4359-01 | DPAC Parallel Pattern Memory PCB | Spares | 01.01.1999 | 1 | as is where is | immediately |
84099 | Credence | 671-1376-02 | Analog Power Monitor PCB 584-02 | Spares | 01.07.1999 | 1 | as is where is | immediately |
80269 | Credence | 671-4283-01 | Duo SX ROM Sequencer TPI/PD | Spares | 01.07.1999 | 1 | as is where is | immediately |
80270 | Credence | 671-4331-01 | DUO SX ROM Sequencer PCB | SPARES | 01.07.1999 | 1 | as is where is | immediately |
80271 | Credence | 670-9426-05 | DUO SX Controller I/O PCB | Spares | 01.07.1999 | 1 | as is where is | immediately |
80272 | Credence | 671-0693-04 | Duo SX DMA2 Controller PCB | spares | 01.05.1999 | 1 | as is where is | immediately |
80273 | Credence | 671-4043-01 | DUO SX FUNCTIONAL TEST CONTROLLER PCB | Spares | 01.04.1999 | 2 | as is where is | immediately |
80274 | Credence | 671-4098-952707 | DUO SX Master Clock Dist. | 01.10.1999 | 1 | as is where is | immediately | |
81829 | Credence | 202-2000-00 | Credence Duo SX controller | 01.06.1999 | 1 | as is where is | immediately | |
106924 | Credence | 671-4359-00 REV 3 | DPAC Parallel Pattern Memory | SPARES | 01.07.1999 | 18 | as is where is | immediately |
106925 | Credence | 671-4177-04 | Support Module Interface PCB | spares | 01.08.1998 | 2 | as is where is | immediately |
106926 | Credence | 671-4351-00 rev A | Intersegment Communications Connector | Spares | 01.01.1999 | 1 | as is where is | immediately |
83631 | Credence | 071-1022-01 | Duo & Logic 100 Test System Service Manual | MANUAL | 01.01.1997 | 1 | as is where is | immediately |
106927 | Credence | 671-2119-00 | Analog Support Air flow / Bus Grant | Spares | 8 | as is where is | immediately | |
106928 | Credence | 671-4270-02 REV A | Capture Processor PCB 1A | Spares | 01.01.1999 | 2 | as is where is | immediately |
106929 | Credence | 671-4270-03 rev A | Capture Processor 1a pcb | Spares | 01.01.1999 | 2 | as is where is | immediately |
106930 | Credence | 671-4155-05 | Analog Support Module VHF PCB | Spares | 01.06.1999 | 1 | as is where is | |
106931 | Credence | 671-4098-952707 | DUO SX Master Clock Dist. PCB | spares | 01.10.1999 | 1 | as is where is | immediately |
106932 | Credence | 671-4283-01 REV 4 | Duo SX ROM Sequencer TPI/PD PCB | SPARES | 01.07.1999 | 1 | as is where is | immediately |
106933 | Credence | 671-4331-01 | DUO SX ROM Sequencer PCB | Spares | 01.07.1999 | 1 | as is where is | immediately |
106934 | Credence | 670-9426-05 | DUO SX Controller I/O PCB | Spares | 01.07.1999 | 1 | as is where is | immediately |
80311 | Credence | 671-4127-00 | Scan Memory | 01.01.1999 | 15 | as is where is | immediately | |
106935 | Credence | 671-0693-04 | Duo SX DMA2 Controller PCB | Spares | 01.05.1999 | 2 | as is where is | immediately |
80312 | Credence | 671-4359-00 | DPAC Parallel Pattern Memory | Spares | 01.01.1999 | 35 | as is where is | immediately |
106936 | Credence | 671-4043-01 REV A | DUO SX FUNCTIONAL TEST CONTROLLER PCB | Spares | 01.04.1999 | 2 | as is where is | immediately |
80313 | Credence | 672-4359-00 | DPAC Parallel Pattern Memory | 01.01.1999 | 1 | as is where is | ||
106937 | Credence | 671-0951-04 | DUO SX Analog I/O Board | Spares | 01.01.1999 | 3 | as is where is | immediately |
80314 | Credence | 672-6051-03 | Support Module Interface PCB for a Credence Duo tester | Spares | 01.01.1999 | 4 | as is where is | immediately |
106938 | Credence | 671-4127-00 | Scan Memory PCB FOR A CREDENCE DUO SX TESTER | Spares | 01.01.1999 | 4 | as is where is | immediately |
106939 | Credence | 671-4394-05 REV A | Test head connector PCB | Spares | 01.11.2005 | 1 | as is where is | immediately |
80316 | Credence | 671-4270-03 | Capture Processor | 01.01.1999 | 2 | as is where is | immediately | |
106940 | Credence | 672-6051-01 | Support Module Interface tpi / td pcb | Spares | 01.07.1999 | 1 | as is where is | immediately |
80317 | Credence | 671-2119-00 | Analog Support Air flow Bus Grant PCB , for Credence DUO tester | Spares | 7 | as is where is | immediately | |
80318 | Credence | 671-4155-05 | Analog Support Module VHF PCB for Credence Duo Tester | Spares | 01.06.1997 | 1 | as is where is | immediately |
80320 | Credence | 671-4351-00 | Intersegment Communications Connector | 01.01.1999 | 1 | as is where is | immediately | |
81864 | Credence | 671-4098-02 | DUO SX Master Clock Dist. PCB | Spares | 01.02.1999 | 1 | as is where is | immediately |
81866 | Credence | 671-4635-01 | Testhead connector PCB board, from a Credence Duo SX test system | Spares | 01.02.2007 | 1 | as is where is | immediately |
98507 | Credence | Trillium Deltamaster | TESTER, 256 pin, 2 Meg DPMY, | 200 mm | 1 | as is where is | ||
82168 | Credence | 671-1461-04 | Intersegment communications board | Spares | 01.07.1999 | 1 | as is where is | immediately |
102870 | CTI | 8510, 8500 | Cryo Compressor | PUMP | 1 | as is where is | ||
54562 | CTI cryogenics | CRYOTORR-100 | Cryopump | PUMP | 31.05.1997 | 1 | as is where is | immediately |
94583 | CTI Cryogenics | 8033168 | Torr High Vacuum Pump | PUMP | 1 | as is where is | ||
94584 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump | PUMP | 1 | as is where is | ||
94585 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump | PUMP | 1 | as is where is | ||
94586 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump | PUMP | 1 | as is where is | ||
94587 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump | PUMP | 1 | as is where is | ||
94588 | CTI Cryogenics | 8033168 | Torr High Vacuum Pump | PUMP | 1 | as is where is | ||
94589 | CTI Cryogenics | 8116036 G 002 | Pump On Board | PUMP | 1 | as is where is | ||
94590 | CTI Cryogenics | 80182 G 001 | Torr Pump | PUMP | 1 | as is where is | ||
69855 | CTI Cryogenics | Cryotorr 100 | Cryopump | pump | 31.05.1997 | 1 | as is where is | immediately |
4259 | CTI-CRYOGENIC | 8040406 REV C | ONBOARD FASTREGEN OPERATION MANUAL | Spares | 1 | as is where is | ||
4260 | CTI-CRYOGENIC | 8040491 REV B | ONBOARD CRYOPUMP INST. MAINT MANUAL | Spares | 01.01.1970 | 1 | as is where is | |
4265 | CTI-CRYOGENIC | 8040406 REV C | ONBOARD FASTREGEN OPERATION MANUAL | Spares | 1 | as is where is | ||
4266 | CTI-CRYOGENIC | 8040491 REV B | ONBOARD CRYOPUMP INST. MAINT MANUAL | Spares | 01.01.1970 | 1 | as is where is | |
106521 | Custom | Polypropylene 3 ft Bench | 3ft Develop Hood Positive Resist Batch | 150 mm/200 mm | 1 | as is where is | immediately | |
106440 | CUSTOM | CUSTOM | Granite Table and Drop Gauge used for post-grinding wafer thickness measurement | 150 mm/200 mm | 1 | as is where is | immediately | |
4261 | CVC | 31-313216-8171 | CONNEXION INSTRUCTION MANUAL | Spares | 01.03.1998 | 2 | as is where is | |
4267 | CVC | 31-313216-8171 | CONNEXION INSTRUCTION MANUAL | Spares | 01.03.1998 | 2 | as is where is | |
83892 | CVC | GTC-036 | TERMOCOUPLE GAUGE TUBE | 3 | as is where is | immediately | ||
106647 | CYBER OPTICS | CYBERSCAN C212/110 | Laser Measure | 200 mm | 1 | as is where is | ||
106018 | Cymer | 01-13500-07 | Paddle for Cymer 4300 laser | Spares | 30.06.1996 | 1 | as is where is | immediately |
103218 | Cymer | XLA 360 | Excimer laser, 193 nm | Facilities | 1 | as is where is | immediately | |
102467 | CYMER | XLA-360 | Excimer Laser | 28.02.2006 | 1 | as is where is | immediately | |
108940 | Cymer | Nanolith 7600 | 193 nm excimer laser | Facilities | 1 | as is where is | immediately | |
52159 | Cymer | 4300 | Maintenance Tool kit and spare parts | SPARES | 1 | as is where is | immediately | |
108806 | DAGE | Series 5000 | Assembly Test System, Incomplete - Call for Details | ASSEMBLY | 1 | inquire | ||
108807 | DAGE | XD6500 | X-Ray Inspection Tool | ASSEMBLY | 1 | inquire | ||
108808 | DAGE | 2400-WP-100 | 100g Wire Pull Load Cell | SPARES | 1 | inquire | ||
108809 | DAGE | 2400PC-BS500G | 500g Ball Shear Load Cell for Dage 2400 | SPARES | 1 | inquire | ||
108810 | DAGE | 2400PC-WP10KG | 10 Kg Wire Pull Load Cell for Dage 2400 | SPARES | 1 | inquire | ||
108642 | Dage | 4000 | Bond Pull tester | Assembly | 01.06.2006 | 1 | as is where is | immediately |
95116 | DAGE | PC2400 | Bond & Wire Tester Operators Guide | Manual | 31.03.1998 | 0 | ||
69789 | Dage | 4000-HK-5-2 | 160-OT12-PTP-02 PULL TEST PIN | 1 | as is where is | immediately | ||
108743 | DAGE | BT23PC | Die Shear Tester with LC200 Die Shear Load Cell, 2ea Available | ASSEMBLY | 1 | as is where is | 2 weeks | |
108744 | DAGE | BT24 | Ball/Bump Shear Tester | ASSEMBLY | 01.05.1994 | 1 | as is where is | immediately |
108745 | DAGE | BT23-PC | Die Shear Tester with LC200 Die Shear Load Cell | Assembly | 01.05.1996 | 1 | as is where is | immediately |
108746 | DAGE | 2400PC | Wire pull tester | Assembly | 1 | as is where is | immediately | |
106447 | Dage | 4000 | DAGE 4000 SHEAR TESTER | 150 mm/200 mm | 01.06.2000 | 1 | as is where is | immediately |
106448 | Dage | 4000 | OPS DAGE 4000 SHEAR TESTER w/computer | 150 mm/200 mm | 1 | as is where is | immediately | |
107411 | Daihen | Daihen Match | RF Match | Spares | 1 | as is where is | ||
107412 | Daihen | Daihen Match | RF Match | Spares | 1 | as is where is | ||
107413 | Daihen | Dome Match | RF Match | Spares | 1 | as is where is | ||
107414 | Daihen | MFM 20SA | RF Match | Spares | 1 | as is where is | ||
107415 | Daihen | NGA-30C | RF Generator | Spares | 1 | as is where is | ||
107416 | DAIHEN | NMN-20A1 | RF Match | Spares | 1 | as is where is | ||
107417 | Daihen | WGA-20A | RF Generator | Spares | 1 | as is where is | ||
107418 | DAIHEN | WMN-25 | Miscellaneous | Spares | 1 | as is where is | ||
91136 | Daitron | CVP-320 | Wafer Edge Grinder | 300 mm | 01.11.2008 | 1 | as is where is | immediately |
106482 | Datacon | CS1250 | Die Pick and Sort to tape reel | 150 mm/200 mm/300 MM | 1 | as is where is | immediately | |
80176 | Dayton | 4C446 | Blower motor 115v | 01.01.2012 | 1 | as is where is | immediately | |
108667 | DEK | Horizon 03ix | Screen Printer | SMT | 01.06.2019 | 3 | as is where is | |
106449 | DEK | Horizon 03iX - Model 710 | Solder / Paste Print Machine | SMT | 01.06.2014 | 1 | as is where is | immediately |
84375 | DELL | PWB 9578D | GX B-V0A | 94V-0 | 31.03.1999 | 1 | as is where is | immediately |
77204 | Delta | ?? | Impulse Driver Board, 5000V, 3A, for PECVD | 01.01.2009 | 1 | as is where is | immediately | |
106450 | Delta | 4CJ | Photoresist Coater | 150 mm/200 mm | 01.02.2005 | 1 | as is where is | immediately |
106451 | Delta | 5AQ | Positive Photoresist Developer | 200 mm | 01.06.2005 | 1 | as is where is | immediately |
106454 | Delta | 6CV | Photoresist Developer | 150 mm/200 mm | 01.10.2006 | 1 | as is where is | immediately |
106456 | Delta | Custom | DI Wafer Cleaner | 150 mm/200 mm | 01.06.2005 | 1 | as is where is | immediately |
106458 | Delta | CUSTOM | DI Wafer Cleaner | 150 mm/200 mm | 1 | as is where is | immediately | |
108747 | DELTRONIC | DH14-RR | Profile Projector with 20X Objective Lens | 1 | as is where is | |||
106950 | Delvotec | 6200 | Gold Ball Wire Bonder | Assembly | 01.06.2007 | 1 | as is where is | immediately |
83627 | DENSAN | DSB-S17 | OUTPUT BOARD SDB-S17 | 2 | as is where is | immediately | ||
108811 | DENTON | DV-502A | Electron-Beam Evaporator with Telemark TT3 P/S, 4 Pocket E-Gun | 1 | inquire | |||
77186 | Despatch | KK33UH-009-00-4,MC | Thermocouple | spares | 1 | as is where is | immediately | |
77187 | Despatch | 164700 | Thermocouple | 1 | as is where is | immediately | ||
81837 | Deublin | 55-000-003 | Deublin, , Rotary Union 5/8" NEW | 1 | as is where is | immediately | ||
103737 | Diener | Tetra 30LF PC | Plasma Surface Treatment Machine | 31.05.2016 | 1 | as is where is | immediately | |
77666 | Digital Analysis | PH10 Adjustment system | PH Adjustment system | 1 | as is where is | immediately | ||
76954 | Dimetric | JGT-10F | Relay 380V 80A | spares | 1 | as is where is | immediately | |
107014 | Disco | DFD6341 | Fully automatic dicing saw | Up to 8 inch | 01.03.2017 | 60 | as is where is | immediately |
106300 | DISCO | DFL-7340 | SAW | 100 mm | 01.06.2012 | 1 | as is where is | immediately |
106816 | Disco | DAD 321 | wafer dicing saw | 150mm | 1 | inquire | ||
108396 | Disco | DFL7160 | Laser Saw | 300 mm | 1 | as is where is | ||
108397 | Disco | DFL7340 | Laser Saw | 200 mm | 01.01.2016 | 1 | as is where is | |
108398 | Disco | DFS8910 | Surface Planarization | 300 mm | 1 | as is where is | ||
108155 | DISCO | DFG-82IF/8 | Rotary Surface Grinder | 200 mm | 01.06.1992 | 1 | as is where is | |
102871 | Disco | DSC141 | After Sawing Cleaner - Spin/Rinse/Dryer | 200 mm | 01.05.2006 | 1 | as is where is | immediately |
102872 | Disco | Heavy Smoker | Heavy Smoker for Disco Dicing Saw DFD6361 | 31.05.2006 | 1 | as is where is | immediately | |
106461 | Disco | DFD6361 | DICING SAW | 200 mm | 01.11.2009 | 1 | as is where is | immediately |
106462 | Disco | DFD6362 | DICING SAW | 150 mm/200 mm | 01.05.2016 | 1 | as is where is | immediately |
106463 | Disco | DFD651 | 8" Dual Spindle Automated DICING Saw | 150 mm/200 mm | 01.06.1995 | 1 | as is where is | immediately |
106464 | Disco | DFD651 | DICING SAW | 150 mm/200 mm | 01.06.2000 | 1 | as is where is | immediately |
90087 | DISCO | DFL7160 | WBL LASER SAW | 300 mm | 31.05.2012 | 1 | as is where is | immediately |
90089 | DISCO | DFL7160 | WBL LASER SAW (DAF Type) | 300 mm | 31.05.2012 | 1 | as is where is | immediately |
91658 | DNS | SS-3000-A | Scrubber (4F) | 300 mm | 1 | as is where is | ||
91660 | DNS | SS-3000-A | Scrubber (4F) | 300 mm | 1 | as is where is | ||
91661 | DNS | SS-3000-A | Scrubber (4F) | 300 mm | 31.05.2007 | 1 | as is where is | |
91662 | DNS | SS-3000-AR | Scrubber (2F/2B) | 300 mm | 30.06.2004 | 1 | as is where is | |
107022 | DNS | SK-2000 | C&D Track | 1 | as is where is | immediately | ||
91664 | DNS | SS-3000-AR | Scrubber (4B) | 300 mm | 31.05.2005 | 1 | as is where is | |
91667 | DNS | SS-3000-AR | Scrubber (4B) | 300 mm | 31.05.2007 | 1 | as is where is | |
91674 | DNS | SU-3000 | Cleaner (MP Type) (2L/P) | 300 mm | 1 | as is where is | ||
91675 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) (1R) | 300 mm | 1 | as is where is | ||
91677 | DNS | SU-3000 | Cleaner (MP Type) (2L/P) | 300 mm | 1 | as is where is | ||
91678 | DNS | SU-3000 | Cleaner (MP Type) (2L/P) | 300 mm | 1 | as is where is | ||
91679 | DNS | SU-3000 | Cleaner (MP Type) (2L/P) | 300 mm | 1 | as is where is | ||
91680 | DNS | SU-3000 | Cleaner (SR Type) (3L/P) | 300 mm | 1 | as is where is | ||
91681 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) | 300 mm | 1 | as is where is | ||
91683 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) | 300 mm | 1 | as is where is | ||
91684 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) | 300 mm | 31.05.2008 | 1 | as is where is | |
106022 | DNS | SK 2000 BVPE | COATER AND DEVELOPER TRACK WITH 2 CT, 2 BCT, 4 DEVELOPERS | 200 mm | 30.09.2003 | 1 | as is where is | immediately |
106023 | DNS | SK 2000 BVPE | Photoresist coater and developer - 2 ct - 2 bct - 4 dev | 200 mm | 31.03.2004 | 1 | as is where is | immediately |
106817 | DNS | RF3S | coater and developer( 5C5D) | 300mm | 1 | inquire | ||
103518 | DNS | FC3000 | Pre Metal Cleaner | 300 mm | 31.05.2009 | 1 | as is where is | |
103520 | DNS | SS-3000-AR | Wafer Scrubber | 300 mm | 31.05.2003 | 1 | as is where is | |
103521 | DNS | SS-3000-AR | Wafer Scrubber | 300 mm | 31.05.2001 | 1 | as is where is | |
103522 | DNS | SS-3000-AR | Wafer Scrubber (4Back) | 300 mm | 1 | as is where is | ||
108898 | DNS | AS2000 | Oxide Wafer scrubbing system | 200 mm | 01.08.2000 | 1 | as is where is | immediately |
103523 | DNS | SU-3000 | Cleaner (SR Type)(SST) (3LoadPort) | 300 mm | 31.05.2003 | 1 | as is where is | |
103524 | DNS | SU-3000 | Cleaner (MP Type) (2_AM1/2_DHF) (2LoadPort) | 300 mm | 1 | as is where is | ||
108399 | DNS | FC-3000 | Batch Wafer Processing | 300 mm | 2 | as is where is | ||
108400 | DNS | FC-3100 | Batch Wafer Processing | 300 mm | 2 | as is where is | ||
108401 | DNS | MP-3000 | Single Wafer Processing | 300 mm | 1 | as is where is | ||
108402 | DNS | RF-300A | Multi Block (Resist Coater/Developer) | 300 mm | 1 | as is where is | ||
108403 | DNS | SS-3000 | Wafer Scrubber | 300 mm | 3 | as is where is | ||
108404 | DNS | SS-3000-AR | Wafer Scrubber | 300 mm | 3 | as is where is | ||
108405 | DNS | SS-3200 | Wafer Scrubber | 300 mm | 1 | as is where is | ||
108406 | DNS | SU-3100 | Single Wafer Processing | 300 mm | 1 | as is where is | ||
108407 | DNS | SU-3200 | Single Wafer Processing | 300 mm | 4 | as is where is | ||
108156 | DNS | SS-W80A-AR | Wafer & Mask Scrubber | 200 mm | 01.06.2000 | 1 | as is where is | |
108157 | DNS | SU-3000 | Cleaner (SR Type)(SST) (3LoadPort) | 300 mm | 01.06.2004 | 1 | as is where is | |
105871 | DNS | 2-39-06099 | NISSHIN VACUUM gauge 0-76 cmHg | spares | 1 | as is where is | immediately | |
105872 | DNS | 2-39-06106 | NISSHIN pressure gauge 0-0.5 kgf cm2 | spares | 1 | as is where is | immediately | |
93076 | DNS | SK-200W-AVPF | Coater / Developer system (2C/2D) | 200 mm | 31.05.1997 | 1 | as is where is | |
93077 | DNS | SK-200W-BVPE | i-Line Photo Track Coater (3C3D) | 200 mm | 31.05.1997 | 1 | as is where is | |
106648 | DNS | SS-3000-AR | WET SCRUBBER – Including HDD | 300 mm | 01.06.2007 | 1 | as is where is | |
106649 | DNS | SS-3000-AR | WET SCRUBBER – No HDD | 300 mm | 01.06.2006 | 1 | as is where is | |
108697 | DNS | SU3000 Acquaspin | Wet wafer acid processing | 300 MM | 01.06.2004 | 1 | inquire | |
106650 | DNS | SS-3000-AR | WET SCRUBBER – No HDD | 300 mm | 01.06.2007 | 1 | as is where is | |
106651 | DNS | SS-3000-AR | WET SCRUBBER – No HDD | 300 mm | 01.06.2007 | 1 | as is where is | |
106652 | DNS | SS-3000-AR | Wafer Scrubber (4Back) (Including HDD) | 300 mm | 01.06.2007 | 1 | as is where is | |
106653 | DNS | SS-3000-AR | Wafer Scrubber (4Back) (Including HDD) | 300 mm | 01.06.2007 | 1 | as is where is | |
106654 | DNS | SU3100 | WET ETCH | 300 mm | 1 | as is where is | ||
108201 | DNS | INSPECTION SCOPE | Inspection Scope | 1 | as is where is | |||
91581 | DNS | SK-80BW AVPE | Photoresist Coater and Developer system (2C/2D) | 200 mm | 31.05.1995 | 1 | as is where is | 3 months |
18878 | DNS | 806 9406 | D-SPIN 60A Instruction manual | 1 | as is where is | |||
18879 | DNS | 803-9605 | D-SPIN 80A Parts list | 1 | as is where is | |||
18880 | DNS | 8089702 | D-SPIN 80A Engineer's manual | 1 | as is where is | |||
18881 | DNS | 2229 | D-SPIN 80A Electrical circuit diagram | 1 | as is where is | |||
18882 | DNS | 603 9309 | D-SPIN 60A Part list | 1 | as is where is | |||
93378 | DNS | WS820L | Wet Bench (Porous Silicon Process) | 200 mm | 01.08.2011 | 1 | as is where is | immediately |
18883 | DNS | 006 9105 | D-SPIN 622 Service manual / part list | 1 | as is where is | |||
18884 | DNS | 6398 | D-SPIN 60 A Electrical circuit diagram | 1 | as is where is | |||
18885 | DNS | 6398 | D-SPIN 60 A Part list | 1 | as is where is | |||
18886 | DNS | 6398 | D-SPIN 60A Service manual | 1 | as is where is | |||
18887 | DNS | 806-9311 | D-SPIN 60A Instruction manual | 1 | as is where is | |||
18888 | DNS | 603-9311 | D-SPIN 60A Part list | 1 | as is where is | |||
18889 | DNS | 806-9371 | D-SPIN 60 Instruction manual | 1 | as is where is | |||
102873 | DNS | SK-80BW-AVPE | Photo Resist Develop System | 200 mm | 1 | as is where is | ||
102874 | DNS | SK-W80B-AVPE | Photo Resist Coat and Develop System | 200 mm | 1 | as is where is | ||
102875 | DNS | SKW-80A-BVPE | Photo Resist Coat and Develop System | 200 mm | 1 | as is where is | ||
98268 | DNS | SS-3100 | WET Scrubber | 300 mm | 31.05.2012 | 1 | inquire | immediately |
102876 | DNS | SKW-80A-BVPE | Photo Resist Coat and Develop System | 200 mm | 1 | as is where is | ||
102877 | DNS | SS-W80A-AR | Wafer Spin Scrubbing/Cleaning | 200 mm | 1 | as is where is | immediately | |
102878 | DNS | SS-W80A-AR | Wafer Spin Scrubbing/Cleaning | 200 mm | 1 | as is where is | ||
102879 | DNS | SS-W80A-AR | Wafer Spin Scrubbing/Cleaning | 200 mm | 1 | as is where is | immediately | |
102880 | DNS | SS-W80A-AR | Wafer Spin Scrubbing/Cleaning | 200 mm | 1 | as is where is | immediately | |
102881 | DNS | SS-W80A-AR | Wafer Spin Scrubbing/Cleaning | 200 mm | 1 | as is where is | immediately | |
102882 | DNS | SS-W80A-AR | Wafer Spin Scrubbing/Cleaning | 100 mm – 200 mm | 1 | as is where is | ||
94447 | DNS | DNS SS-3000-A | Bevel Scrubber (4F) | 300 mm | 31.05.2006 | 1 | as is where is | |
94448 | DNS | DNS SS-3000-A | Bevel Scrubber (4F) | 300 mm | 31.05.2011 | 1 | as is where is | |
94449 | DNS | DNS SS-3000-A | Wafer Scrubber (4Front) | 300 mm | 1 | as is where is | ||
94450 | DNS | DNS SS-3000-AR | Bevel Scrubber (4B) | 300 mm | 31.05.2008 | 1 | as is where is | |
106738 | DNS | DUOI | ARF-I TRACK | 300 mm | 1 | as is where is | ||
94451 | DNS | DNS SS-3000-AR | Wafer Scrubber (4Back) | 300 mm | 1 | as is where is | ||
94452 | DNS | DNS SS-3000-AR | Wafer Scrubber (4Back) | 300 mm | 1 | as is where is | ||
94454 | DNS | DNS SU-3000 | Cleaner (MP Type)(DHF) (2LoadPort) | 300 mm | 1 | as is where is | ||
94456 | DNS | DNS SU-3000 | Cleaner (SR Type)(SST) (3LoadPort) | 300 mm | 31.05.2004 | 1 | as is where is | |
108220 | DNS / SOKUDO | RF3 | Photoresist Coater and Developer Track | 300 mm | 01.06.2019 | 1 | inquire | immediately |
83876 | DOMNICK HUNTER | QR 010 REV.4.0 | FILTER | 30.09.2007 | 2 | as is where is | immediately | |
107419 | Dressler | Cesar 1312 | RF Generator | Spares | 1 | as is where is | ||
107420 | Dressler | Cesar 1312 | RF Generator | Spares | 1 | as is where is | ||
107421 | Dressler | Cesar 1312 | RF Generator | Spares | 1 | as is where is | ||
107422 | Dressler | Cesar 133 | RF Generator | Spares | 1 | as is where is | ||
107423 | Dressler | Cesar 1330 | RF Generator | Spares | 1 | as is where is | ||
107424 | Dressler | Cesar 136 | RF Generator | Spares | 1 | as is where is | ||
107425 | Dressler | Cesar 136 | RF Generator | Spares | 1 | as is where is | ||
107426 | Dressler | Cesar 136 | RF Generator | Spares | 1 | as is where is | ||
107427 | Dressler | Cesar 136 | RF Generator | Spares | 1 | as is where is | ||
107428 | Dressler | Cesar 136 | RF Generator | Spares | 1 | as is where is | ||
107429 | Dressler | Cesar 136 | RF Generator | Spares | 1 | as is where is | ||
107430 | Dressler | Cesar 2740 | RF Generator | Spares | 1 | as is where is | ||
107431 | Dressler | Hilight | RF Generator | Spares | 1 | as is where is | ||
107432 | Dressler | Hilight 133 | RF Generator | Spares | 1 | as is where is | ||
107433 | Dressler | Hilight 133 | RF Generator | Spares | 1 | as is where is | ||
107434 | Dressler | Hilight 133 | RF Generator | Spares | 1 | as is where is | ||
107435 | Dressler | VM 1000 A | RF Match | Spares | 1 | as is where is | ||
107436 | Dressler | VM 1000 A | RF Match | Spares | 1 | as is where is | ||
107437 | Dressler | VM 1000 A | RF Match | Spares | 1 | as is where is | ||
107438 | Dressler | VM 1000 A | RF Match | Spares | 1 | as is where is | ||
107439 | Dressler | VM 1000 A | RF Match | Spares | 1 | as is where is | ||
107440 | Dressler | VM 1000 A | RF Match | Spares | 1 | as is where is | immediately | |
107441 | Dressler | VM 1000 A | RF Match | Spares | 1 | as is where is | ||
107442 | Dressler | VM 1000 A | RF Match | Spares | 1 | as is where is | ||
107443 | Dressler | VM 1000 A | RF Match | Spares | 1 | as is where is | ||
107444 | Dressler | VM 700 A | RF Match | Spares | 1 | as is where is | immediately | |
83568 | Driver board | 005843 | Stepper motor driver board, unknown | 1 | as is where is | immediately | ||
107445 | Drytech | 13.56Mhz Power Source | RF Generator | Spares | 1 | as is where is | ||
105864 | DWYER | RMA-10-SSV | AIR FLOWMETER,1/8 NPT CONN.,UP TO 100PSI | Spares | 1 | as is where is | immediately | |
79394 | Ebara | A30W | Vacuum Pump | Pump | 1 | as is where is | immediately | |
79395 | Ebara | A30W | Vacuum Pump | Pump | 1 | as is where is | immediately | |
108620 | EBARA | AA70WN | Vacuum Pump | PUMP | 1 | as is where is | ||
108621 | EBARA | AA70W | Vacuum Pump | PUMP | 3 | as is where is | ||
108622 | EBARA | A30W | Vacuum Pump | PUMP | 2 | as is where is | ||
77147 | Ebara | Ebanet 1250 AD | Communications module for dry pumps | facilities | 1 | as is where is | immediately | |
108408 | Ebara | FREX300 Tungsten | Tungsten CMP | 300 mm | 1 | as is where is | ||
108409 | Ebara | FREX300S Poly/STI | Poly/STI CMP | 300 mm | 1 | as is where is | ||
108410 | Ebara | FREX300S Tungsten | Tungsten CMP | 300 mm | 9 | as is where is | ||
108411 | Ebara | FREX300S2 Tungsten | Tungsten CMP | 300 mm | 2 | as is where is | ||
98460 | Ebara | Frex 300 | W CMP | 300mm | 1 | as is where is | immediately | |
98461 | Ebara | Frex 300 | STI CMP ( missing front end robot and load port) | 300mm | 1 | as is where is | ||
70304 | EBARA | 30024-02 | RING, ONE PIECE EBARA FREX 200 | SPARES | 1 | as is where is | immediately | |
70305 | EBARA | C-1120-008-0001 | BALL SCREW, APROX 170 CM LENGTH, FOR EBARA FREX 200 | SPARES | 1 | as is where is | immediately | |
70306 | Ebara | FREX 200 (Spares) | PRESSURE SENSITIVE VALVE FOR EBARA FREX 200 | SPARES | 2 | as is where is | immediately | |
106174 | Ebara | AAS70WN | Dry pump | 01.01.2004 | 8 | as is where is | immediately | |
106466 | ECI | QL-10-EX | PLATING BATH ANALYSER | Facilities | 1 | as is where is | immediately | |
107007 | ECO Snow | VersaClean 1200 | Mask / Substrate cleaner | 150 mm | 01.10.2012 | 1 | as is where is | immediately |
80001 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver module for BIB oven | Reliability | 1 | as is where is | immediately | |
80029 | EDA Industries | PCBA 05758 | UBTS Diagnostic Board for BIB oven | Reliability | 1 | as is where is | immediately | |
80030 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver module for BIB oven | Reliability | 1 | as is where is | immediately | |
80031 | EDA Industries | PCBA 05431 | DRIVER module for BIB oven | Reliability | 6 | as is where is | immediately | |
80032 | EDA Industries | PCBA 07009 | PTDM TO ART 200 EXTENDER CARD | Reliability | 1 | as is where is | immediately | |
80040 | EDA Industries | PCBA 05568 REV 1.4 | Pattern test Driver module for BIB oven | Reliability | 1 | as is where is | immediately | |
80041 | EDA Industries | PCBA 05568 REV 1.4 | Pattern test Driver module for BIB oven | Reliability | 1 | as is where is | immediately | |
80042 | EDA Industries | PCBA 05568 REV 1.2 | Pattern test Driver module for BIB oven with 6 drivers | Reliability | 1 | as is where is | immediately | |
80045 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver module for BIB oven with 6 drivers | Reliability | 1 | as is where is | immediately | |
80052 | EDA Industries | PCBA 05568 REV 1.3 | Pattern test Driver module for BIB oven with 3 x PSU | Reliability | 1 | as is where is | immediately | |
80080 | EDA Industries | PCBA 05568 REV 1.4 | Pattern test Driver PCB for BIB oven | Reliability | 1 | as is where is | immediately | |
80081 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver PCB for BIB oven with 6 drivers | Reliability | 1 | as is where is | immediately | |
80082 | EDA Industries | PCBA 05568 REV 1.2 | Pattern test Driver module for BIB oven with 3 x PSU | Reliability | 1 | as is where is | immediately | |
80084 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver PCB for BIB oven with 6 drivers | Reliability | 1 | as is where is | immediately | |
80033 | EDA Industries ERNI | 914796 RC | BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated). | Reliability | 256 | as is where is | immediately | |
106200 | EDAX | Eagle 2 | Micro-probe EDX analyser | Laboratory | 01.06.2000 | 1 | as is where is | immediately |
82180 | Edwards | Flange | Edwards pump flange 10 7/8" i.d. 11 3/8" O.D. | 1 | as is where is | immediately | ||
98059 | EDWARDS | iXH-1820H | Dry Pump | 1 | as is where is | immediately | ||
98060 | EDWARDS | iGX100L | Dry Pump | 1 | as is where is | immediately | ||
108812 | EDWARDS | E2M5 | Mechanical Vacuum Pump | PUMP | 1 | inquire | ||
108813 | EDWARDS | E2M18 | Mechanical Vacuum Pump | PUMP | 1 | inquire | ||
108814 | EDWARDS | iQDP80/QMB500 | Dry Vacuum Pump/Blower Package, with Lower Enclosure | PUMP | 1 | inquire | ||
108563 | Edwards | iH600 | Dry Vacuum pump | PUMP | 1 | as is all rebuilt | immediately | |
108564 | Edwards | EPX500NE | Mechanical Vacuum Pump | Pump | 1 | as is where is | immediately | |
108565 | Edwards | IH1000HTX | Mechanical Vacuum Pump | Pump | 17 | as is all rebuilt | immediately | |
102943 | Edwards | Various | Batch of Dry Pumps and Roughing Pumps | PUMP | 1 | as is where is | ||
97081 | EDWARDS | STP-XH2603P | Turbomolecular pump | PUMP | 01.03.2009 | 2 | inquire | immediately |
97082 | EDWARDS | STP-1003C | Turbomolecular pump | PUMP | 01.02.2009 | 1 | inquire | immediately |
97083 | EDWARDS | ih600 Mk5 | Vacuum pump | PUMP | 01.03.2014 | 1 | inquire | |
95559 | Edwards | iQDP40 | Dry Mechanical Pump | Pump | 01.05.2000 | 1 | as is where is | immediately |
108623 | EDWARDS | QMB500 | Vacuum Pump | PUMP | 3 | as is where is | ||
108624 | EDWARDS | IPX100A | Vacuum Pump | PUMP | 3 | as is where is | ||
108625 | EDWARDS | IPX100 | Vacuum Pump | PUMP | 1 | as is where is | ||
108626 | EDWARDS | IH1800 | Vacuum Pump | PUMP | 15 | as is where is | ||
108627 | EDWARDS | IH1000 | Vacuum Pump | PUMP | 21 | as is where is | ||
108628 | EDWARDS | IGX100L | Vacuum Pump | PUMP | 1 | as is where is | ||
108629 | EDWARDS | IGX1000N | Vacuum Pump | PUMP | 1 | as is where is | ||
108630 | EDWARDS | EPXTWIN180L | Vacuum Pump | PUMP | 3 | as is where is | ||
108631 | EDWARDS | EPX500LE | Vacuum Pump | PUMP | 5 | as is where is | ||
108632 | EDWARDS | EPX180NE | Vacuum Pump | PUMP | 3 | as is where is | ||
108633 | EDWARDS | EPX180LE | Vacuum Pump | PUMP | 7 | as is where is | ||
108634 | EDWARDS | EPX180L | Vacuum Pump | PUMP | 1 | as is where is | ||
108635 | EDWARDS | EPX 500NE | Vacuum Pump | PUMP | 1 | as is where is | ||
83582 | EDWARDS | ETM63X PLASMA | HEATING CONTROL UNIT | 1 | as is where is | immediately | ||
106879 | Edwards | iXH 1820H | Vacuum Pump | Pump | 01.06.2015 | 1 | as is where is | immediately |
106880 | Edwards | iGX100L | Vacuum Pump | Pump | 01.06.2015 | 1 | as is where is | immediately |
106881 | Edwards | STP-XH2603P | Turbo Pump | Pump | 01.06.2015 | 7 | as is where is | immediately |
106882 | Edwards | STP-1003P | Turbo Pump | Pump | 01.06.2015 | 2 | as is where is | immediately |
77209 | Edwards | Flange | Edwards pump flange 5 1/8" OD 4" ID | 1 | as is where is | immediately | ||
106919 | Edwards | STP-A1303C | TURBOMOLECULAR PUMP | PUMP | 01.06.2002 | 1 | as is where is | immediately |
101037 | Edwards | STPiXA2205C PN: YT63‐1Z‐040 | Turbomolecular Vacuum Pump | Pump | 31.05.2013 | 1 | as is where is | immediately |
101038 | Edwards | STPiXA2205C PN: YT63‐1Z‐040 | Turbomolecular Vacuum Pump | Pump | 01.05.2013 | 1 | as is where is | immediately |
101039 | Edwards | STPiXA2205C PN:YT63‐1Z‐000 | Turbomolecular Vacuum Pump | Pump | 31.05.2012 | 1 | as is where is | immediately |
101040 | Edwards | STPiXA2205C PN:YT63‐1Z‐000 | Turbomolecular Vacuum Pump | Pump | 31.05.2012 | 1 | as is where is | immediately |
18865 | EDWARDS | A529-80-905 | QMKII dry pumping system | 2 | as is where is | |||
101041 | Edwards | STPiXA2205C PN:YT63‐1Z‐000 | Turbomolecular Vacuum Pump | Pump | 31.05.2016 | 1 | as is where is | immediately |
72127 | Edwards | Speedivalve | SPEEDI VALVE | Spares | 1 | as is where is | immediately | |
72128 | Edwards | 040020030 | Cable, motor drive, 5M seiko | Spares | 1 | as is where is | immediately | |
106947 | EDWARDS | STP-1003C | Turbomolecular pump + controller + cable set | PUMP | 01.02.2009 | 1 | as is where is | immediately |
54217 | Edwards | iQDP80 / QMB1200 | Dry Vacuum Pump combo | pump | 01.03.2007 | 3 | as is where is | immediately |
54218 | Edwards | iQDP80 / QMB1200 | Dry Vacuum Pump combo | pump | 01.03.2007 | 1 | as is where is | immediately |
54219 | Edwards | iQDP80 / QMB1200 | Dry Vacuum Pump combo | pump | 01.03.2007 | 1 | as is where is | immediately |
54220 | Edwards | QDP80 + QMB 250F | Dry Vacuum Pump combo | pump | 31.05.2000 | 1 | as is where is | immediately |
74445 | Edwards | iQDP 80 | Dry vacuum pump | PUMP | 01.06.2000 | 1 | as is where is | |
54221 | Edwards | QDP80 + QMB 250F | Dry Vacuum Pump combo | pump | 31.05.2000 | 1 | as is where is | immediately |
74446 | Edwards | iQDP 80 | Dry vacuum pump | PUMP | 01.06.2000 | 1 | as is where is | |
54222 | Edwards | QDP80 | Dry Vacuum Pump | pump | 31.05.2000 | 1 | as is where is | immediately |
74447 | Edwards | iQDP 80 + QMB 500F | Dry vacuum pump COMBO | PUMP | 01.06.2000 | 1 | as is where is | |
74448 | Edwards | iQDP 80 + QMB 500F | Dry vacuum pump combo | PUMP | 01.06.2000 | 1 | as is where is | |
74449 | Edwards | iQDP 80 + QMB 500F | Dry vacuum pump combo | PUMP | 01.06.2000 | 1 | as is where is | |
74450 | Edwards | iQDP 80 + QMB250F | Dry vacuum pump combo | PUMP | 01.06.2000 | 1 | as is where is | |
106972 | Edwards | QDP80 Drystar | Dry Vacuum pump with power box | pump | 01.06.1995 | 1 | as is where is | immediately |
106973 | Edwards | QDP80 + QMB250F | Dry Vacuum pump combo with power box | pump | 01.06.1995 | 1 | as is where is | immediately |
106974 | Edwards | QDP40 + QMB250F | Dry Vacuum pump combo with power box | pump | 01.06.1995 | 1 | as is where is | immediately |
106975 | Edwards | QDP80 + QMB250F | Dry Vacuum pump combo with power box | pump | 01.06.1995 | 1 | as is where is | immediately |
11233 | EDWARDS | GVI 100P | Gate valve | SPARES | 1 | as is where is | immediately | |
18921 | EDWARDS | 03-A344-02-881 | Rotary vacuum pump E1M40, E1M80,E2M40,E2M80 | 1 | as is where is | |||
18922 | EDWARDS | 03-A301-51-883 | Eh series mechanical booster pump and combination units | 1 | as is where is | |||
18923 | EDWARDS | 03-A344-02-882 | Rotary vacuum pump E1M40,E2M40,E2M80 and E2M40S, E2M80S superpumps | 1 | as is where is | |||
69878 | Edwards / Seiko Seiki | STP 1000C | TURBO PUMP TMP 100C 250 ISO-K/KF40 | VACUUM PUMP | 01.10.1999 | 1 | as is where is | immediately |
108041 | EDWARDS. ETC. | Vacuum Pumps | Various Vacuum Pumps, Tubo Pumps, Controllers for sale | Spares | 1 | as is where is | immediately | |
79521 | Efector | 500 | Pressure sensor switch, programmable | 01.01.2001 | 1 | as is where is | immediately | |
15658 | ELCO | MMC50-1 | POWER SUPPLY | 1 | as is where is | immediately | ||
83636 | ELCO CO. LTD | K50A-15 | POWER SUPPLY | 15V3.4A | 3 | as is where is | immediately | |
83639 | ELCO CO. LTD | K SERIES | POWER SUPPLY | K100 A-12 | 0 | as is where is | immediately | |
78132 | Electroglas | Horizon 4085X | Fully Automatic Prober with an inker | 200 mm | 01.01.1998 | 1 | as is where is | immediately |
91591 | ELECTROGLAS | EG5300 | Prober | 300 mm | 1 | as is where is | ||
91592 | ELECTROGLAS | EG5/300 A | Prober | 300 mm | 31.12.2004 | 1 | as is where is | immediately |
91593 | ELECTROGLAS | EG5300 | Prober | 300 mm | 1 | as is where is | ||
99387 | ELES | ART 200 | Debug Station for Reliability Test System | RELIABILITY | 1 | as is where is | immediately | |
79885 | Elind | 3232 | power supply 0-3,2A current adj - 0 - 32 V voltage adj | Electronics Test and Measurement | 1 | as is where is | immediately | |
79887 | elind | 328 | power supply 0-0,8A current adj - 0 - 32 V voltage adj | Lab Equipment | 31.05.1995 | 1 | as is where is | immediately |
79596 | Elind | KL 1200W | Laboratory Power supply | Electronics Test and Measurement | 01.05.2000 | 8 | as is where is | immediately |
107520 | ENI | MW-5 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107521 | ENI | MW-5 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107522 | ENI | MW-5 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107523 | ENI | MW-5 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107524 | ENI | MW-5 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107525 | ENI | MW-50W | RF Match | Spares | 1 | as is where is | ||
107526 | ENI | MW-5D | RF Match | Spares | 1 | as is where is | ||
107527 | ENI | MW-5D | RF Match | Spares | 1 | as is where is | ||
107528 | ENI | MW-5D | RF Match | Spares | 1 | as is where is | ||
107529 | ENI | MW-5D | RF Match | Spares | 1 | as is where is | ||
107530 | ENI | MW-5D | RF Match | Spares | 1 | as is where is | ||
107531 | ENI | MW-5D | RF Match | Spares | 1 | as is where is | ||
107532 | ENI | MW-5D | RF Match | Spares | 1 | as is where is | ||
107533 | ENI | MW-5D | RF Match | Spares | 1 | as is where is | ||
107534 | ENI | MW-5D | RF Match | Spares | 1 | as is where is | ||
107535 | ENI | MW-5D | RF Match | Spares | 1 | as is where is | ||
107536 | ENI | MW-5D | RF Match | Spares | 1 | as is where is | ||
107537 | ENI | MW-5D Controller | Miscellaneous | Spares | 1 | as is where is | ||
107538 | ENI | MW-5D Controller | Miscellaneous | Spares | 1 | as is where is | ||
107539 | ENI | MW-5D Controller | Miscellaneous | Spares | 1 | as is where is | ||
107540 | ENI | MW-5D Controller | Miscellaneous | Spares | 1 | as is where is | ||
107541 | ENI | MW-5D Controller | Miscellaneous | Spares | 1 | as is where is | ||
107542 | ENI | MW-5D Controller | Miscellaneous | Spares | 1 | as is where is | ||
108566 | ENI | DCG-200Z-OPTIMA | RF Generator | SPARES | 01.12.2005 | 6 | as is where is | 2 weeks |
107543 | ENI | MWD-25LD | RF Match | Spares | 1 | as is where is | ||
108567 | ENI | RFC-6-03 | RF Generator | SPARES | 01.07.2006 | 1 | as is where is | immediately |
107544 | ENI | MWH-100 | RF Match | Spares | 1 | as is where is | ||
107545 | ENI | MWH-100 | RF Match | Spares | 1 | as is where is | ||
107546 | ENI | MWH-100 | RF Match | Spares | 1 | as is where is | immediately | |
107547 | ENI | MWH-100 | RF Match | Spares | 1 | as is where is | ||
107548 | ENI | MWH-100 | RF Match | Spares | 1 | as is where is | ||
107549 | ENI | MWH-100 | RF Match | Spares | 1 | as is where is | ||
107550 | ENI | MWH-25 | RF Match | Spares | 1 | as is where is | ||
107551 | ENI | MWH-25 | RF Match | Spares | 1 | as is where is | ||
107552 | ENI | MWH-25 | RF Match | Spares | 1 | as is where is | ||
107553 | ENI | MWH-5 | RF Match | Spares | 1 | as is where is | ||
107554 | ENI | MWH-5 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107555 | ENI | MWH-5 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107556 | ENI | MWM-25-02 | RF Match | Spares | 1 | as is where is | ||
107557 | ENI | OEM-10B | RF Generator | Spares | 1 | as is where is | ||
107558 | ENI | OEM-12 | RF Generator | Spares | 1 | as is where is | ||
107559 | ENI | OEM-12 | RF Generator | Spares | 1 | as is where is | ||
107560 | ENI | OEM-12 | RF Generator | Spares | 1 | as is where is | ||
107561 | ENI | OEM-12 | RF Generator | Spares | 1 | as is where is | ||
107562 | ENI | OEM-1250 | RF Generator | Spares | 1 | as is where is | ||
107563 | ENI | OEM-1250 | RF Generator | Spares | 1 | as is where is | ||
107564 | ENI | OEM-1250 | RF Generator | Spares | 1 | as is where is | ||
107565 | ENI | OEM-1250 | RF Generator | Spares | 1 | as is where is | ||
107566 | ENI | OEM-1250 | RF Generator | Spares | 1 | as is where is | ||
107567 | ENI | OEM-1250 | RF Generator | Spares | 1 | as is where is | ||
107568 | ENI | OEM-12A | RF Generator | Spares | 1 | as is where is | ||
107569 | ENI | OEM-12A | RF Generator | Spares | 1 | as is where is | ||
107570 | ENI | OEM-12A | RF Generator | Spares | 1 | as is where is | ||
107571 | ENI | OEM-12A | RF Generator | Spares | 1 | as is where is | ||
107572 | ENI | OEM-12A | RF Generator | Spares | 1 | as is where is | ||
107573 | ENI | OEM-12A | RF Generator | Spares | 1 | as is where is | ||
107574 | ENI | OEM-12A | RF Generator | Spares | 1 | as is where is | ||
107575 | ENI | OEM-12A | RF Generator | Spares | 1 | as is where is | ||
107576 | ENI | OEM-12A | RF Generator | Spares | 1 | as is where is | ||
107577 | ENI | OEM-12A | RF Generator | Spares | 1 | as is where is | ||
107578 | ENI | OEM-12A | RF Generator | Spares | 1 | as is where is | ||
107579 | ENI | OEM-12A XL | RF Generator | Spares | 1 | as is where is | ||
107580 | ENI | OEM-12A XL | RF Generator | Spares | 1 | as is where is | immediately | |
107581 | ENI | OEM-12A XL | RF Generator | Spares | 1 | as is where is | ||
107582 | ENI | OEM-2000 | RF Generator | Spares | 1 | as is where is | ||
107583 | ENI | OEM-2000 | RF Generator | Spares | 1 | as is where is | ||
107584 | ENI | OEM-2000 | RF Generator | Spares | 1 | as is where is | ||
107585 | ENI | OEM-6 | RF Generator | Spares | 1 | as is where is | ||
107586 | ENI | OEM-6 | RF Generator | Spares | 1 | as is where is | ||
107587 | ENI | OEM-6 | RF Generator | Spares | 1 | as is where is | ||
107588 | ENI | OEM-6 | RF Generator | Spares | 1 | as is where is | ||
107589 | ENI | OEM-6 | RF Generator | Spares | 1 | as is where is | ||
107590 | ENI | OEM-6 | RF Generator | Spares | 1 | as is where is | ||
107591 | ENI | OEM-6 | RF Generator | Spares | 1 | as is where is | ||
107592 | ENI | OEM-6 | RF Generator | Spares | 1 | as is where is | ||
107593 | ENI | OEM-6 | RF Generator | Spares | 1 | as is where is | ||
107594 | ENI | OEM-6 | RF Generator | Spares | 1 | as is where is | ||
107595 | ENI | OEM-6 | RF Generator | Spares | 1 | as is where is | ||
107596 | ENI | OEM-6 | RF Generator | Spares | 1 | as is where is | ||
107597 | ENI | OEM-6 | RF Generator | Spares | 1 | as is where is | ||
107598 | ENI | OEM-650A | RF Generator | Spares | 1 | as is where is | ||
107599 | ENI | OEM-650A | RF Generator | Spares | 1 | as is where is | ||
107600 | ENI | OEM-650A | RF Generator | Spares | 1 | as is where is | ||
107601 | ENI | OEM-650A | RF Generator | Spares | 1 | as is where is | ||
107602 | ENI | OEM-650A | RF Generator | Spares | 1 | as is where is | ||
107603 | ENI | OEM-650A | RF Generator | Spares | 1 | as is where is | ||
107604 | ENI | OEM-650A | RF Generator | Spares | 1 | as is where is | ||
107605 | ENI | OEM-650A | RF Generator | Spares | 1 | as is where is | ||
107606 | ENI | OEM-650A | RF Generator | Spares | 1 | as is where is | ||
107607 | ENI | OEM-650A | RF Generator | Spares | 1 | as is where is | ||
107608 | ENI | OEM-650A | RF Generator | Spares | 1 | as is where is | ||
107609 | ENI | OEM-650A | RF Generator | Spares | 1 | as is where is | ||
107610 | ENI | OEM-650A | RF Generator | Spares | 1 | as is where is | ||
107611 | ENI | OEM-650A | RF Generator | Spares | 1 | as is where is | ||
107612 | ENI | OEM-650A | RF Generator | Spares | 1 | as is where is | ||
107613 | ENI | OEM-650A | RF Generator | Spares | 1 | as is where is | ||
107614 | ENI | OEM-650A XL | RF Generator | Spares | 1 | as is where is | ||
107615 | ENI | OEM-650A XL | RF Generator | Spares | 1 | as is where is | ||
107616 | ENI | OEM-650A XL | RF Generator | Spares | 1 | as is where is | ||
107617 | ENI | OEM-650A XL | RF Generator | Spares | 1 | as is where is | ||
107618 | ENI | OEM-650A XL | RF Generator | Spares | 1 | as is where is | ||
107619 | ENI | OEM-650A XL | RF Generator | Spares | 1 | as is where is | ||
107620 | ENI | OEM-6B | RF Generator | Spares | 1 | as is where is | ||
107621 | ENI | OEM-6B | RF Generator | Spares | 1 | as is where is | ||
107622 | ENI | OEM-6L | RF Generator | Spares | 1 | as is where is | ||
107623 | ENI | OEM-6M | RF Generator | Spares | 1 | as is where is | ||
107624 | ENI | PL2-HF | RF Generator | Spares | 1 | as is where is | ||
107625 | ENI | PL2-HF | RF Generator | Spares | 1 | as is where is | ||
107626 | ENI | PL2-HF | RF Generator | Spares | 1 | as is where is | ||
107627 | ENI | PL2-HF | RF Generator | Spares | 1 | as is where is | ||
107628 | ENI | PL2-HF | RF Generator | Spares | 1 | as is where is | ||
107629 | ENI | PL2-HF | RF Generator | Spares | 1 | as is where is | ||
107630 | ENI | POD Interface Box | Miscellaneous | Spares | 1 | as is where is | ||
107631 | ENI | POD Interface Box | Miscellaneous | Spares | 1 | as is where is | ||
107632 | ENI | POD Interface Box | Miscellaneous | Spares | 1 | as is where is | ||
107633 | ENI | POD Interface Box | Miscellaneous | Spares | 1 | as is where is | ||
107634 | ENI | POD Interface Box | Miscellaneous | Spares | 1 | as is where is | ||
107635 | ENI | POD Interface Box | Miscellaneous | Spares | 1 | as is where is | ||
107636 | ENI | POD Interface Box | Miscellaneous | Spares | 1 | as is where is | ||
107637 | ENI | POD Interface Box | Miscellaneous | Spares | 1 | as is where is | ||
107638 | ENI | POD Interface Box | Miscellaneous | Spares | 1 | as is where is | ||
107639 | ENI | POD Interface Box | Miscellaneous | Spares | 1 | as is where is | ||
107640 | ENI | POD Interface Box | Miscellaneous | Spares | 1 | as is where is | ||
107641 | ENI | POD Interface Box | Miscellaneous | Spares | 1 | as is where is | ||
107642 | ENI | POD Interface Box | Miscellaneous | Spares | 1 | as is where is | immediately | |
107643 | ENI | POD Interface Box | Miscellaneous | Spares | 1 | as is where is | ||
107644 | ENI | RFC4T | RF Match | Spares | 1 | as is where is | ||
107645 | ENI | RFC-5 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107646 | ENI | RFC-5MW | Miscellaneous | Spares | 1 | as is where is | ||
107647 | ENI | RFC-5MW | Miscellaneous | Spares | 1 | as is where is | immediately | |
107648 | ENI | RFC-5MW | Miscellaneous | Spares | 1 | as is where is | ||
107649 | ENI | RFC-5MW | Miscellaneous | Spares | 1 | as is where is | ||
107650 | ENI | RFC-5MW | Miscellaneous | Spares | 1 | as is where is | ||
107651 | ENI | RFC-5MW | Miscellaneous | Spares | 1 | as is where is | ||
107652 | ENI | RFC-6 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107653 | ENI | RFC-6 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107654 | ENI | RFC-6 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107655 | ENI | RFC-6 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107656 | ENI | RFC-6 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107657 | ENI | RFC-6 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107658 | ENI | RFC-6 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107659 | ENI | Spectrum 3013 | RF Generator | Spares | 1 | as is where is | ||
107660 | ENI | Spectrum 3013 | RF Generator | Spares | 1 | as is where is | ||
107661 | ENI | Spectrum 5K 2mhz | RF Generator | Spares | 1 | as is where is | ||
107662 | ENI | UTF-10 | Miscellaneous | Spares | 1 | as is where is | ||
107663 | ENI | VL-400 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107664 | ENI | VL-400 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107665 | ENI | VL-400 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107666 | ENI | VL-400 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107667 | ENI | VL-400 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107668 | ENI | VL-400 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107669 | ENI | VL-400 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107670 | ENI | VL-400 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107671 | ENI | VL-400 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107672 | ENI | Voltage Probe | Miscellaneous | Spares | 1 | as is where is | ||
107673 | ENI | Voltage Probe | Miscellaneous | Spares | 1 | as is where is | ||
107674 | ENI | Voltage Probe | Miscellaneous | Spares | 1 | as is where is | ||
83625 | ENI | HF-3000-50 | HARMONIC FILTER ASSY | 28.02.1994 | 1 | as is where is | immediately | |
107446 | ENI | ACG-10 | RF Generator | Spares | 1 | as is where is | ||
107447 | ENI | ACG-10 | RF Generator | Spares | 1 | as is where is | ||
107448 | ENI | ACG-10 | RF Generator | Spares | 1 | as is where is | ||
107449 | ENI | ACG-10B | RF Generator | Spares | 1 | as is where is | ||
107450 | ENI | ACG-10B | RF Generator | Spares | 1 | as is where is | ||
107451 | ENI | ACG-10B | RF Generator | Spares | 1 | as is where is | ||
107452 | ENI | ACG-10B | RF Generator | Spares | 1 | as is where is | ||
107453 | ENI | ACG-10B | RF Generator | Spares | 1 | as is where is | ||
107454 | ENI | ACG-10B | RF Generator | Spares | 1 | as is where is | ||
106175 | ENI | OEM-2000-01M1 | RF GENERATOR | SPARES | 1 | as is where is | immediately | |
107455 | ENI | ACG-10B | RF Generator | Spares | 1 | as is where is | ||
107456 | ENI | ACG-10XL | RF Generator | Spares | 1 | as is where is | ||
107457 | ENI | ACG-3 | RF Generator | Spares | 1 | as is where is | ||
107458 | ENI | ACG-3 | RF Generator | Spares | 1 | as is where is | ||
107459 | ENI | ACG-3 | RF Generator | Spares | 1 | as is where is | ||
107460 | ENI | ACG-3 | RF Generator | Spares | 1 | as is where is | ||
107461 | ENI | ACG-3 | RF Generator | Spares | 1 | as is where is | ||
107462 | ENI | ACG-3 | RF Generator | Spares | 1 | as is where is | ||
107463 | ENI | ACG-3 | RF Generator | Spares | 1 | as is where is | ||
107464 | ENI | ACG-3B | RF Generator | Spares | 1 | as is where is | ||
107465 | ENI | ACG-3B | RF Generator | Spares | 1 | as is where is | ||
107466 | ENI | ACG-3B | RF Generator | Spares | 1 | as is where is | immediately | |
107467 | ENI | ACG-3B | RF Generator | Spares | 1 | as is where is | ||
107468 | ENI | ACG-3B | RF Generator | Spares | 1 | as is where is | ||
107469 | ENI | ACG-3B | RF Generator | Spares | 1 | as is where is | ||
107470 | ENI | ACG-3DC | RF Generator | Spares | 1 | as is where is | ||
107471 | ENI | ACG-3DC | RF Generator | Spares | 1 | as is where is | ||
107472 | ENI | ACG-5 | RF Generator | Spares | 1 | as is where is | ||
107473 | ENI | ACG-6 | RF Generator | Spares | 1 | as is where is | ||
107474 | ENI | ACG-6 | RF Generator | Spares | 1 | as is where is | ||
107475 | ENI | DC Power Generator | RF Generator | Spares | 1 | as is where is | ||
107476 | ENI | DCG 200 | RF Generator | Spares | 1 | as is where is | ||
107477 | ENI | DCG 200 | RF Generator | Spares | 1 | as is where is | ||
107478 | ENI | DOFBC2-078 | RF Match | Spares | 1 | as is where is | ||
107479 | ENI | Dual Match 2.27Mhz | RF Match | Spares | 1 | as is where is | ||
107480 | ENI | Harmonic Filter | Miscellaneous | Spares | 1 | as is where is | ||
107481 | ENI | HPG-2 | RF Generator | Spares | 1 | as is where is | ||
107482 | ENI | HPG-2 | RF Generator | Spares | 1 | as is where is | ||
107483 | ENI | LPG-12A | RF Generator | Spares | 1 | as is where is | ||
107484 | ENI | LPG-12A | RF Generator | Spares | 1 | as is where is | ||
107485 | ENI | LPG-12A | RF Generator | Spares | 1 | as is where is | ||
107486 | ENI | LPG-12A | RF Generator | Spares | 1 | as is where is | ||
107487 | ENI | LPG-12A | RF Generator | Spares | 1 | as is where is | ||
107488 | ENI | LPG-12A | RF Generator | Spares | 1 | as is where is | ||
107489 | ENI | LPG-12A | RF Generator | Spares | 1 | as is where is | ||
107490 | ENI | LPG-24 | RF Generator | Spares | 1 | as is where is | ||
107491 | ENI | LPG-24 | RF Generator | Spares | 1 | as is where is | ||
107492 | ENI | LPG-6 | RF Generator | Spares | 1 | as is where is | ||
107493 | ENI | LPG-6A | RF Generator | Spares | 1 | as is where is | ||
107494 | ENI | LPG-6A | RF Generator | Spares | 1 | as is where is | ||
107495 | ENI | MW Controller | Miscellaneous | Spares | 1 | as is where is | ||
107496 | ENI | MW Controller | Miscellaneous | Spares | 1 | as is where is | ||
107497 | ENI | MW Controller | Miscellaneous | Spares | 1 | as is where is | ||
107498 | ENI | MW Controller | Miscellaneous | Spares | 1 | as is where is | ||
107499 | ENI | MW Controller | Miscellaneous | Spares | 1 | as is where is | ||
107500 | ENI | MW Controller | Miscellaneous | Spares | 1 | as is where is | ||
107501 | ENI | MW Controller | Miscellaneous | Spares | 1 | as is where is | ||
107502 | ENI | MW Controller | Miscellaneous | Spares | 1 | as is where is | ||
107503 | ENI | MW Controller | Miscellaneous | Spares | 1 | as is where is | ||
80368 | ENI | OEM-6J | RF GENERATOR | SPARES | 31.05.1995 | 1 | as is where is | immediately |
107504 | ENI | MW Controller | Miscellaneous | Spares | 1 | as is where is | ||
107505 | ENI | MW-10 | RF Match | Spares | 1 | as is where is | ||
107506 | ENI | MW-10 | RF Match | Spares | 1 | as is where is | ||
107507 | ENI | MW-10 | RF Match | Spares | 1 | as is where is | ||
107508 | ENI | MW-10 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107509 | ENI | MW-10 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107510 | ENI | MW-10 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107511 | ENI | MW-10 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107512 | ENI | MW-10 Controller | Miscellaneous | Spares | 1 | as is where is | ||
107513 | ENI | MW-10D | RF Match | Spares | 1 | as is where is | ||
107514 | ENI | MW-10D | RF Match | Spares | 1 | as is where is | ||
107515 | ENI | MW-10D Controller | Miscellaneous | Spares | 1 | as is where is | ||
107516 | ENI | MW-10D Controller | Miscellaneous | Spares | 1 | as is where is | ||
107517 | ENI | MW-10T | RF Match | Spares | 1 | as is where is | ||
107518 | ENI | MW-5 | RF Match | Spares | 1 | as is where is | ||
107519 | ENI | MW-5 | RF Match | Spares | 1 | as is where is | ||
77091 | Enidine | MB21539 | Shock Absorber | 1 | as is where is | |||
83513 | Entegris | RSPX-EUV-036 | Reticle Direct Purge Cabinet | Facilities | 01.07.2010 | 1 | as is where is | immediately |
106467 | EO Technic | CSM-2000 | CHIP SCALE LASER MARKER | 150 mm/200 mm | 01.06.2002 | 1 | as is where is | immediately |
108064 | EO Technics | EO 9702 | Laser marking | 1 | as is where is | |||
106301 | EO TECHNICS | PEM402 | Die Bonder | 200 mm | 01.06.2012 | 1 | as is where is | immediately |
106334 | EO TECHNICS | BSM222G BSM2264 | PACKAGING | Assembly | 1 | as is where is | immediately | |
108641 | EO TECHNICS | CSM 2000 | Chip scale laser wafer marker | 150 mm/200 mm | 01.06.2006 | 1 | as is where is | immediately |
98462 | EO TECHNICS | CSM 3000 | Chip Scale laser marker | 200 mm/300 mm | 30.06.2005 | 1 | as is where is | immediately |
106469 | EO Technics | CSM2000 | CHIP SCALE LASER MARKER | 150 mm/200 mm | 1 | as is where is | immediately | |
106470 | EO TECHNICS | CSM2000 | CHIP SCALE LASER MARKER | 200 mm | 01.06.2005 | 1 | as is where is | immediately |
106655 | EO TECHNOLOGY | FOR GLASS PANEL | Laser Marker for Glass | 200 mm | 01.06.2006 | 1 | as is where is | |
84090 | ERACOND | X3 | MINIATURE AIR CYLINDER | 1 | as is where is | immediately | ||
84080 | ERACOND Z3 | M20 PD 50 | MINIATUR AIR CYLINDER | 1 | as is where is | immediately | ||
82286 | ESA | ET5200LX2000 | Touch Screen Computer | spares | 01.10.2007 | 1 | as is where is | immediately |
108668 | ESE | US8500X | Screen Printer | SMT | 01.06.2010 | 1 | as is where is | |
108669 | ESE | US2000 | Screen Printer | SMT | 01.06.2015 | 1 | as is where is | |
108670 | ESE | US2000XQ | Screen Printer | SMT | 01.06.2012 | 1 | as is where is | |
108815 | ESEC | CT-2000 | Automatic Flip Chip Die Attacher, 3ea Available | ASSEMBLY | 1 | inquire | ||
68903 | ESEC | 3006 F/X | Fully Automatic Wire bonder | Reliability | 31.05.1999 | 1 | as is where is | immediately |
100704 | ESEC | 3018 | Gold Ball Bonder | Assembly | 1 | as is where is | immediately | |
100705 | ESEC | 3088 | Gold Ball Bonder | Assembly | 1 | as is where is | immediately | |
108749 | ESEC | BU-050-N | Parts Unloader for Micron 2 Automatic Die Attacher | 1 | inquire | |||
108750 | ESEC | BL-050-N | Parts Loader for Micron 2 Automatic Die Attacher | 1 | inquire | |||
108272 | ESEC | 2008HSPLUS | Die Bonder | ASSEMBLY | 01.06.2007 | 1 | as is where is | |
108273 | ESEC | DB 2007 SSI plus | Die Bonder | ASSEMBLY | 01.06.2005 | 1 | as is where is | |
108274 | ESEC | DB 2007 SSI Plus | Die Bonder | ASSEMBLY | 01.06.2005 | 1 | as is where is | |
108275 | ESEC | Die Bonder 2007 FS | Die Bonder | ASSEMBLY | 01.06.2001 | 1 | as is where is | |
83739 | ESI | 44 | COMPLETE SET OF LASER TRIMMER SPARE PCBS AND PARTS | SPARES | 27 | as is where is | immediately | |
94494 | ESI | M9825 | Laser Fuser | 18 | as is where is | |||
83796 | ESI | 29286 | ESI pcb Servo Preamp | Spares | 1 | as is where is | immediately | |
83797 | ESI | 29282 | ESI pcb Transducer Preamp | Spares | 1 | as is where is | immediately | |
83798 | ESI | 43175 | 4 phase encoder logic assy | Spares | 1 | as is where is | immediately | |
83799 | ESI | 929284 | MAX Velocity control board | Spares | 1 | as is where is | immediately | |
83801 | ESI | 40898 | ESI pcb Interrupt control pcb | Spares | 1 | as is where is | immediately | |
83802 | ESI | 42328 | ESI pcb Precharged Servo Preamp | Spares | 1 | as is where is | immediately | |
83803 | ESI | 29278 | ESI pcb Power Amplifier driver for ESI 44 fuser | Spares | 1 | as is where is | immediately | |
83804 | ESI | 29800 | Driver power supply | 1 | as is where is | immediately | ||
83813 | ESI | 41751 | Transducer Buffer PCB for ESI model 44 laser fuser | Spares | 2 | as is where is | immediately | |
83814 | ESI | 42251 | Approach Control PCB for ESI 44 | Spares | 1 | as is where is | immediately | |
83815 | ESI | 29292 | ESI pcb Position Encoder Logic for ESI 44 fuser | Spares | 1 | as is where is | immediately | |
83816 | ESI | 42119 | ESI pcb 4 Phase Control Module | 1 | as is where is | immediately | ||
83817 | ESI | 42253 | ESI pcb Power Amplifier driver for ESI 44 fuser | Spares | 1 | as is where is | immediately | |
83818 | ESI | 42252 | ESI pcb Acceleration Control Assy for ESI 44 fuser | Spares | 1 | as is where is | immediately | |
83820 | ESI | 24971 | Manual Functions PC Board FOR ESI MODEL 44 LASER TRIMMER | Spares | 1 | as is where is | immediately | |
83822 | ESI | 41207 | IEEE 488 Interface FOR ESI 44 LASER TRIMMER | SPARES | 1 | as is where is | immediately | |
106353 | ESI | 9830HDE | Laser Repair | 200 mm / 300 mm | 01.06.2007 | 1 | as is where is | |
106354 | ESI | 9830HDE | Laser Repair | 200 mm / 300 mm | 01.06.2007 | 1 | as is where is | |
106355 | ESI | M9830 | Laser Repair | 200 mm / 300 mm | 01.06.2006 | 1 | as is where is | immediately |
106356 | ESI | M9830 | Laser Repair | 200 mm / 300 mm | 01.06.2005 | 1 | as is where is | |
106357 | ESI | M9830 | Laser Repair | 200 mm / 300 mm | 1 | as is where is | ||
106358 | ESI | M9830 | Laser Repair | 200 mm / 300 mm | 01.06.2006 | 1 | as is where is | |
106359 | ESI | M9830 | Laser Repair | 200 mm / 300 mm | 01.06.2006 | 1 | as is where is | |
106360 | ESI | M9850 | Laser Repair | 200 mm / 300 mm | 1 | as is where is | ||
106361 | ESI | M9850 | Laser Repair | 200 mm / 300 mm | 01.06.2007 | 1 | as is where is | |
106362 | ESI | M9850 | Laser Repair | 200 mm / 300 mm | 01.06.2007 | 1 | as is where is | |
106363 | ESI | M9850 | Laser Repair | 200 mm / 300 mm | 01.06.2007 | 1 | as is where is | |
106364 | ESI | M9850 | Laser Repair | 200 mm / 300 mm | 01.06.2007 | 1 | as is where is | |
106365 | ESI | M9850 | Laser Repair | 200 mm / 300 mm | 01.06.2007 | 1 | as is where is | |
106366 | ESI | M9850 | Laser Repair | 200 mm / 300 mm | 1 | as is where is | ||
93072 | ESI | M9825 | LASER REPAIR | 200 mm | 01.05.2005 | 1 | as is where is | immediately |
83857 | ESI | 48503 | Linear motor/Laser Control PC Board FROM ESI MODEL 44 TRIMMER | Spares | 2 | as is where is | immediately | |
83858 | ESI | 24961 | Extender Board PC Board w/ cables FOR ESI 44 LASER TRIMMER | Spares | 2 | as is where is | immediately | |
83859 | ESI | 42356 | S&R Interface PC Board FOR ESI MODEL 44 LASER TRIMMER | Spares | 1 | as is where is | immediately | |
83860 | ESI | 24955 | Scanner Module PC Board for ESI 44 fuser | spares | 3 | as is where is | immediately | |
36507 | ESI | M9275 | LASER REPAIR SYSTEM | 01.12.1998 | 1 | inquire | ||
106656 | ESI | HD 9830 | Laser Repair | 200 mm,300 mm | 01.06.2006 | 1 | as is where is | |
106657 | ESI | HD 9830 | Laser Repair | 200 mm,300 mm | 01.06.2006 | 1 | as is where is | |
106658 | ESI | HD 9830 | Laser Repair | 200 mm,300 mm | 01.06.2006 | 1 | as is where is | |
106659 | ESI | HDE 9830 | Laser Repair | 200 mm,300 mm | 01.06.2006 | 1 | as is where is | |
106660 | ESI | HDE 9830 | Laser Repair | 200 mm,300 mm | 01.06.2007 | 1 | as is where is | |
106967 | ESI | 5380 | CO2 laser ablation system | SMT | 1 | immediately | ||
83936 | ESI | 41506 | Bridge Calibrator PCB for ESI 44 LASER TRIMMER | Spares | 1 | as is where is | immediately | |
91363 | ESI | M9825 | LASER REPAIR | 300 mm | 31.05.2003 | 1 | as is where is | |
102883 | ESI | 9275 | Laser Repair System - Modules and Parts only | 200 mm | 1 | as is where is | ||
91364 | ESI | M9825 | LASER REPAIR | 1 | as is where is | |||
84210 | ESI | Power Assy | Power supply assy, ESI 44/4400 | 1 | as is where is | immediately | ||
106899 | ESI ELECTRO SCIENTIFIC INDUSTRIES | 146900-01 REV A | Lower Pneumatic Control Box for ESI 9830 | spares | 01.09.2007 | 1 | as is where is | 2 weeks |
100938 | ESMO | Hermes | Testhead Manipulator | Test | 31.05.2006 | 3 | as is where is | immediately |
108065 | ESPEC | STPH-101 | OVEN | OVEN | 1 | as is where is | ||
108066 | ESPEC | TSB 51 | Shock Chamber | RELIABILITY | 1 | as is where is | ||
79520 | Eurotherm | 2408f | Temperature controller | 01.01.2001 | 3 | as is where is | immediately | |
108412 | Evatech/Balzers | BAK SPLIT | PVD (Physical Vapor Deposition) | 5 | as is where is | |||
108816 | EVG | Smart View | Wafer Aligner - Parts Only | 1 | inquire | |||
98340 | EVG | 101 | Photoresist coater and developer | 200 mm | 31.05.2003 | 1 | as is where is | immediately |
90667 | EVG | 805EZD | Debonder | 200 mm / 300 mm | 31.05.2013 | 1 | as is where is | immediately |
106158 | EVG | 850 TB | Temporary Bond Aligner | 150 mm | 01.06.2001 | 1 | as is where is | immediately |
101819 | EVG | 820 | Mold Carrier Lamination System | 200mm | 1 | inquire | ||
103140 | EVG | 520 | Manual Wafer Load Substrate Bonder | 150 mm | 31.05.2003 | 1 | as is where is | 2 months |
106997 | EVG | Gemini | Automated Production Fusion Bonder | 300 mm | 1 | inquire | immediately | |
102567 | EXICON | S3000A | Memory Tester | TEST | 1 | inquire | ||
102599 | Expertech | CTR-200 | Wet/Dry Oxidation Annealing System | 200 mm | 01.05.2017 | 1 | as is where is | immediately |
83515 | Extraction Systems | TMB 150 | Photoresist Contamination Monitor System / Total Amine Analyzer | Facilities | 31.05.2004 | 1 | as is where is | immediately |
108748 | FAITH TECHNOLOGY | RapiTran 2 | Wafer Transfer Station | 150 mm | 1 | as is where is | immediately | |
108932 | FEI | ExSolve CLM Next Gen | High Accuracy FIB SEM | 300 mm | 01.06.2016 | 2 | as is where is | immediately |
106964 | FEI | Strata 400 | Dual Beam FIB SEM | Laboratory | 01.06.2006 | 1 | as is where is | immediately |
107000 | FEI | Sirion | FE SEM with e-beam lithography capability and EDX | up to 2.5 inch diameter | 01.06.2002 | 1 | as is where is | immediately |
108026 | FEI | CLM-PLUS (CRT-082) | FIB SEM | 300 mm | 01.04.2012 | 1 | as is where is | |
106009 | FEI Company | FIB 200 | Single Beam FIB | 03.06.2003 | 1 | as is where is | ||
107675 | Fenwal | Sealer | Sealing | Spares | 1 | as is where is | ||
107676 | Fenwal | Sealer | Sealing | Spares | 1 | as is where is | ||
107677 | Fenwal | Sealer | Sealing | Spares | 1 | as is where is | ||
107678 | Fenwal | Sealer | Sealing | Spares | 1 | as is where is | ||
80169 | Festo | 150857 SME-8-S-LED-24 | Proximity switch | 01.12.2012 | 1 | as is where is | immediately | |
100706 | Feutron | KPK 200 Type 3423/16 | Climate Chamber | Reliability | 31.05.2007 | 1 | as is where is | immediately |
105848 | Feutron | KPK200 | Climate chamber | Typ 3423/16 | 31.12.2006 | 1 | as is all rebuilt | immediately |
108067 | FICO | SM | Injection mold press | ASSEMBLY | 2 | as is where is | ||
108276 | FICO | AMS24 | AUTO MOLDING SYSTEM | ASSEMBLY | 01.06.1995 | 1 | as is where is | immediately |
106894 | FINETECH GmbH | Fineplacer 96 | Manual FlipChip Bonder | 1 | as is where is | immediately | ||
108710 | Fogale | DeepProbe 300M | Low Coherence IR wafer interferometry | 200 mm and 300 mm | 01.06.2014 | 1 | inquire | |
108817 | FOUR DIMENSIONS | CV92A | Semi Auomatic Mercury Probe CV Plotter | up to 200 mm | 01.06.1998 | 1 | inquire | immediately |
106015 | FSI | Polaris 3500 (Spares) | POWER SUPPLY, CONVERSION EQUIPMENT CORP. M/N 05D-0189-01 | Spares | 1 | as is where is | immediately | |
83516 | FSI | Polaris 3500 (Spares) | Spares for DUV photoresist coater / developer track | spares | 01.05.2000 | 1 | as is where is | immediately |
95574 | FSI | Polaris 3500 (Spares) 294157-200 REV C | PCB, MODULE DRIP AND GUARD INPUTS | Spares | 1 | as is where is | immediately | |
95575 | FSI | Polaris 3500 (Spares) IKEGAMI PM-930A | PICURE MONITOR | Spares | 1 | as is where is | immediately | |
95576 | FSI | Polaris 3500 (Spares) VT106 | 10 INCH VGA MONOCHROME MONITOR | Spares | 2 | as is where is | immediately | |
95577 | FSI | Polaris 3500 (Spares) IBM 8228 P/N 6091014 | Multistation Access Unit | Spares | 2 | as is where is | immediately | |
95578 | FSI | Polaris 3500 (Spares) Proteon P7228 rev h | PROTEON PRONET P7228 8 PORT | Spares | 2 | as is where is | immediately | |
95579 | FSI | Polaris 3500 (Spares) Patlite SL-V | Signal Tower, 2 color, Amber, Red | Spares | 2 | as is where is | immediately | |
95580 | FSI | Polaris 3500 (Spares) | Power box for 200 mm note track | Spares | 1 | as is where is | immediately | |
95581 | FSI | Polaris 3500 (Spares) | PLAST-O-MATIC PRD075B-PP VALVE | Spares | 2 | as is where is | immediately | |
95582 | FSI | Polaris 3500 (Spares) | PCB, FSI A/N 294084-200C | Spares | 1 | as is where is | immediately | |
95583 | FSI | Polaris 3500 (Spares) | DIGI ACCELPORT PCB 55000534 REV F | Spares | 1 | as is where is | immediately | |
95584 | FSI | Polaris 3500 (Spares) | PCB, FSI 200-0097 REV B MVS 8100 FRAME GRABBER | Spares | 1 | as is where is | immediately | |
95585 | FSI | Polaris 3500 (Spares) | PCB, FSI 61-0061-10 EXP-BP5 | Spares | 1 | as is where is | immediately | |
95586 | FSI | Polaris 3500 (Spares) | PCB, FSI 33000-472, VME MONOLITHIC 12 SLOT | Spares | 1 | as is where is | immediately | |
95587 | FSI | Polaris 3500 (Spares) | PCB, FSI 10444-01AA | Spares | 31.05.1999 | 1 | as is where is | immediately |
95588 | FSI | Polaris 3500 (Spares) | PCB, FSI SP 586T (00-065-0015 REV D) SINGLE BOARD COMPUTER | Spares | 31.05.1999 | 1 | as is where is | immediately |
95591 | FSI | Polaris 3500 (Spares) | Power Supply, Densei-Lambda Alpha 250S | Spares | 31.05.1999 | 1 | as is where is | immediately |
95592 | FSI | Polaris 3500 (Spares) | GAS SENSOR, FSI P/N 841-004-i | Spares | 1 | as is where is | immediately | |
95593 | FSI | Polaris 3500 (Spares) | ATEN CS-122 CPU SWITCH FOR PS/2 PC/AT | Spares | 1 | as is where is | immediately | |
95595 | FSI | Polaris 3500 (Spares) | PLATE ASSEMBLY | Spares | 31.08.1995 | 1 | as is where is | immediately |
95596 | FSI | Polaris 3500 (Spares) | PLASTIC COVER | Spares | 1 | as is where is | immediately | |
95602 | FSI | Polaris 3500 (Spares) | FSI P/N 05D-0167-03A1 POWER SUPPLY, DC BATTERY BACKUP 05D016703A1 | Spares | 1 | as is where is | immediately | |
95603 | FSI | Polaris 3500 (Spares) | FSI P/N 917410-002C POWER BOX WITH BOARD CT 294159-200C | Spares | 31.01.2000 | 1 | as is where is | immediately |
95604 | FSI | Polaris 3500 (Spares) | FSI P/N 917803-001B DWR-DSP CABLE, 25 CM | Spares | 1 | as is where is | immediately | |
95605 | FSI | Polaris 3500 (Spares) | FSI P/N IB1-7000T 2 FEET CABLE 0795 | Spares | 1 | as is where is | immediately | |
95606 | FSI | Polaris 3500 (Spares) | FSI P/N IB1-7000T , CABLE 2 FEET 0795 | Spares | 30.06.1995 | 1 | as is where is | immediately |
95607 | FSI | Polaris 3500 (Spares) | FSI P/N POWER CABLE, LENGTH 135 CM | Spares | 1 | as is where is | immediately | |
95608 | FSI | Polaris 3500 (Spares) | FSI P/N 8454-AWM-4097-MT BELDEN CABLE, LENGHT 34 CM | Spares | 1 | as is where is | immediately | |
106873 | FSI | Zeta 300 G3 | Wafer Acid Spray Cleaner, Hot SPM Process | 300 mm | 01.01.2012 | 1 | as is where is | immediately |
105877 | FSI | Mercury (Spare Parts) | Brand New Chemical Canister, HF Coded | Spares | 1 | immediately | ||
105880 | FSI | Mercury (Spare Parts) | Used Chemical Canister, HCl Coded | Spares | 1 | immediately | ||
18849 | FSI | 906498-100 | FSI CHEM FILL Dec scotland system manual and print | 1 | as is where is | |||
18850 | FSI | 905403-001 | Print and Product Structures (Poly) Mercury MP | 1 | as is where is | |||
18851 | FSI | 907575-100 | Mercury MP spray processing system operation and maintenance manual | manual | 01.05.1994 | 1 | as is where is | immediately |
18852 | FSI | 501666-000 | Operations and maintenance Excalibur ISR | 2 | as is where is | |||
18853 | FSI | 500539-001 | Saturn MP comprehensive technical package | 2 | as is where is | |||
18854 | FSI | 906496-100 | Bit bus node installation system requirement and guidelines | 1 | as is where is | |||
18855 | FSI | 906487 | Chemblend 350 operation and maintenance manual | 2 | as is where is | |||
18856 | FSI | 905521 | Model 1000 CDM operations and maintenance manual | 1 | as is where is | |||
18857 | FSI | 501442-058 | Booster pump operations and maintenance | 1 | as is where is | |||
18858 | FSI | 504099-001 | Motorola mos 16 PLC expansion project | 2 | as is where is | |||
18859 | FSI | 912631 | Excalibur ISR and EOS systems operations and maintenance manual | 2 | as is where is | |||
18860 | FSI | 903943-200 | Heated recirculations operations and maintenance manual | 1 | as is where is | |||
27820 | FSI | Excalibur ISR & EOS | Manual | 1 | ||||
18861 | FSI | 906488-100 | Model 1000 CDM operations and maintenance manual | 1 | as is where is | |||
27821 | FSI | Proteus 11 | Manual | 1 | ||||
108717 | FSI | Polaris 3500 | Mini Photolithography Track without coaters and developers | 300 MM / 200 mm | 01.06.2004 | 1 | as is where is | immediately |
18862 | FSI | 916197-100 | Model 1000 CDM ( ce-marked with PPS ) operations and maintenance manual | 1 | as is where is | |||
27822 | FSI | Chemfill systems | Manual | manual | 1 | |||
18863 | FSI | 903893-200 | Helios 52 di water meter operation and maintenance manual | 2 | as is where is | |||
27823 | FSI | Excalibur | Manual | 1 | ||||
27824 | FSI | SATURN & TITAN | Manuals | 1 | ||||
27825 | FSI | Mercury | Manual | 1 | ||||
27826 | FSI | Mercury MP | Manual | 1 | ||||
27827 | FSI | Excalibur ISR | Manual | 2 | ||||
27828 | FSI | 912629 | Manual | 1 | ||||
27829 | FSI | 904602-200 | Manual | 1 | ||||
27830 | FSI | 906496-100 | Manual | 1 | ||||
27835 | FSI | Excalibur | Manual 905364-200 | 1 | ||||
27836 | FSI | Excalibur ISR | Manual Prints & Product Structures | 1 | ||||
27837 | FSI | Booster Pump | Manual | 1 | ||||
27838 | FSI | Saturn MP | Manual 500539-001 | 1 | ||||
27839 | FSI | Saturn MP | Manual 500539-004 | 3 | ||||
27840 | FSI | Booster Pump | Manual | 1 | as is where is | immediately | ||
27841 | FSI | Proteus 1 | Manual | 1 | ||||
27842 | FSI | Saturn OC | Manuals | 2 | ||||
27871 | FSI | ISR Maual | In Situ Rinse Prints & Parts Structures Manual | 1 | ||||
27872 | FSI | Excalibur | Vapour Phase Processing system Supplementary training manual | 1 | ||||
27873 | FSI | PLC Expansion | PLC expansion Project Manual | 1 | ||||
27874 | FSI | 907051-100 | Excalibur ISR Operation and Maintenance Manual | 1 | ||||
27875 | FSI | HELIOS 52 | Prints & Products structures Manual | 1 | ||||
27876 | FSI | Excalibur 200mm | Supplier Manual | 2 | ||||
27877 | FSI | 500539-004 | Parts & Structures Manual | 1 | ||||
27878 | FSI | Saturn | Manual General | 1 | ||||
27879 | FSI | Mercury MP | Spray Processing system Operation & Maintenance Manual | spares | 1 | as is where is | immediately | |
27880 | FSI | Chemfill systems | Model 1000 CDM/ PPS Manual Rev D | manual | 2 | as is where is | immediately | |
27881 | FSI | Chemfill systems - Manual | Model 1000-4 PLC CDM Supplementary Training Package | Manual | 1 | as is where is | immediately | |
75296 | FSI * | Mercury MP * | Acid Spray Process | 200 mm | 1 | inquire | 1 month | |
86277 | FSI INTERNATIONAL | 308998-001 RX 90 CONTR.1 | staubli rx 90 robot control unit | spares | 31.08.2000 | 1 | as is where is | immediately |
93084 | FSM | SYMPHONYMC | Life Time | 300 MM | 1 | as is where is | ||
83616 | FSP GROUP INC | 100-240 W | POWER SUPPLY | 10A 50-60 Hz | 31.01.2008 | 10 | as is where is | immediately |
83884 | FUJI | CP32 | CIRCUIT PROTECTOR | 5 | as is where is | immediately | ||
84260 | FUJI SEIKI & SILENT | FA-1612HCB-C | FA.FWM. | 1 | ||||
103814 | Fujikin | FBSDV-6.35-2B3-BGC | VALVE BLOCK DIAPH 1/4VCR-F/F/F NC/NC VIM VAR 5RA | Spares | 31.05.2005 | 1 | as is where is | immediately |
83544 | Fujitsu Denso | FDS26-29 | 750W 26V29A Power supply | Spares | 01.02.1996 | 2 | as is where is | immediately |
83549 | Fujitsu Denso | FDS11+11 | 750W 34A Power supply | Spares | 01.12.1995 | 1 | as is where is | immediately |
106475 | Furukawa | UVW-102M | FURUKAWA UV-102 SEMI AUTO UV CURE SYSTEM | N/A | 1 | as is where is | ||
83903 | GACHOT | DN 15-10 | STAINLSS STEEL BALL VALVE | 2 | as is where is | immediately | ||
96543 | Gaertner | L115C-8 | Ellipsometer, cassette to cassette | 100-200 mm | 28.02.1995 | 1 | as is where is | immediately |
84075 | Gasonics | DTC2280 | ISA IDE control board floppy HDD | 1 | as is where is | immediately | ||
107679 | GASONICS | Gasonics IPC Match | RF Match | Spares | 1 | as is where is | ||
107680 | GASONICS | Gasonics IPC Match | RF Match | Spares | 1 | as is where is | ||
107681 | GASONICS | Gasonics Match | RF Match | Spares | 1 | as is where is | ||
91348 | Gasonics | IRIDIA 4800 DL | Stripper / Asher | 31.05.1998 | 1 | as is where is | ||
91349 | Gasonics | IRIDIA 4800 DL | Stripper / Asher | 1 | as is where is | |||
91351 | Gasonics | IRIDIA 4800 DL | Stripper / Asher | 31.05.1998 | 1 | as is where is | ||
83920 | GAZEL | MG.FE 14 | SUPERCLEAN | 14 | as is where is | immediately | ||
83921 | GAZEL | MG.FC 14 | GSI | 6 | as is where is | immediately | ||
83922 | GAZEL | MG.FE 38 | SUPERCLEAN | 4 | as is where is | immediately | ||
83923 | GAZEL | EMC 38 AB | GSI | 9 | as is where is | immediately | ||
83924 | GAZEL | ECF 14 | SUPERCLEAN | 6 | as is where is | immediately | ||
83925 | GAZEL | ECM 14 | SUPERCLEAN-GSI | 6 | as is where is | immediately | ||
83926 | GAZEL | ECF 12 | SUPERCLEAN | 5 | as is where is | immediately | ||
83927 | GAZEL | ECM 12 | GSI | 1 | as is where is | immediately | ||
108751 | GCA TROPEL | 9000 | Wafer Flatness Analyzer | 1 | as is where is | |||
91138 | GEMINI | GEMINI III E | EPI Reactor Batch | 150 mm | 1 | as is where is | immediately | |
106170 | GEMINI | Gemini 3 | Dual Chamber Epitaxial Reactor | 200 mm | 01.05.1996 | 1 | as is where is | immediately |
107682 | Gencal | GenCal Power Meter | Miscellaneous | Spares | 1 | as is where is | ||
107683 | General Electric | Dummy Load | Miscellaneous | Spares | 1 | as is where is | ||
94596 | Genesys | DC Power Supplies | SPARES | 1 | as is where is | |||
94597 | Genesys | DC Power Supplies | SPARES | 1 | as is where is | |||
107016 | Genmark | Various genmark robots and Edwards turbo pumps | Mixed lot of Edwards Turbo pumps, Genmark Robots and controllers and a JEL robot | Spares | 01.06.2013 | 9 | as is where is | immediately |
108818 | GENMARK | S08R | Robot Controllers, PN 990010622, 2ea Available | SPARES | 1 | inquire | ||
98463 | Genmark | GB4/3L | Atmospheric wafer handling robot with controller | 200 mm | 1 | as is where is | immediately | |
98464 | Genmark | GB8-MT-80050102 | Single arm Atmospheric wafer handling robot | 200mm | 1 | as is where is | immediately | |
101042 | Genmark | AVR series | Cleanroom Vacuum Robot only | Spares | 1 | as is where is | immediately | |
106945 | Genmark | GB3 | Wafer handling Robot | Robot | 1 | as is all rebuilt | immediately | |
98317 | Gigaphoton | GT60A4 | 193 NM excimer laser | Facilities | 31.07.2006 | 1 | as is where is | immediately |
106855 | Gigaphoton | A700GK-1 | 248 nm (KrF) excimer laser | Facilities | 01.10.2007 | 1 | as is where is | immediately |
76735 | GL Automation | IDSCOPE | Wafer bar code reader | 200 mm | 30.06.2004 | 1 | as is where is | immediately |
76736 | GL Automation | IDSCOPE | Wafer bar code reader | 200 mm | 30.06.2004 | 1 | as is where is | immediately |
76737 | GL Automation | IDSCOPE | Wafer bar code reader | 200 mm | 30.06.2004 | 1 | as is where is | immediately |
76738 | GL Automation | IDSCOPE | Wafer bar code reader | 200 mm | 30.06.2004 | 1 | as is where is | immediately |
76739 | GL Automation | IDSCOPE | Wafer bar code reader | 200 mm | 31.08.2004 | 1 | as is where is | immediately |
107684 | Glassman High Voltage, Inc | PS/FL1.5F1.0 | RF Generator | Spares | 1 | as is where is | ||
79892 | Gossen Konstanter | IEC625 | Power supply Gossen Konstanter UOP | Electronics Test and Measurement | 1 | as is where is | immediately | |
106476 | GPD | PBFT856VS | Pull Force Tester | 150 mm/200 mm | 01.06.2000 | 1 | as is where is | immediately |
94601 | Group 3 | 1981001 | Digital Teslameter | SPARES | 1 | as is where is | ||
83800 | GSC | P140-74 | Gleichstrom Servo Motor | 1 | as is where is | immediately | ||
108158 | GSI | CSP200 | Wafer Level Die Marking System | 200 mm | 1 | as is where is | ||
71907 | Hamamatsu | C7103 | PC Controlled IC Back-side Lapping and Wafer Grinding System | 200 mm and packages | 30.09.2001 | 1 | as is where is | immediately |
84413 | HAMPTON | CYCLETROL 240 | CIRCUIT BREAKER | 1 | as is where is | immediately | ||
108651 | Hanhwa | Decan S2 | Pick and Place | SMT | 01.06.2022 | 1 | as is where is | |
108652 | Hanhwa | Decan F2 | Pick and Place | SMT | 01.06.2014 | 1 | as is where is | |
108653 | Hanhwa | SM471 | Pick and Place | SMT | 01.06.2015 | 1 | as is where is | |
108654 | Hanhwa | SM481 | Pick and Place | SMT | 01.06.2011 | 1 | as is where is | |
108655 | Hanhwa | SCM1 | Pick and Place | SMT | 01.06.2011 | 1 | as is where is | |
108674 | HELLER | 1809EXL | AIR TYPE REFLOW OVEN | SMT | 01.06.2012 | 1 | as is where is | |
107685 | Henry | Henry 2k |