fabsurplus.com

List of - equipment available for sale at fabsurplus.com

The following are the items available for sale related to - at SDI fabsurplus.com. To inquire about the - equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of - items.



SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
109289 AD-TEC AMV-1000-AA RF GENERATOR Spares 1 as is where is
109290 AD-TEC AMV-2000-AMT RF GENERATOR Spares 4 as is where is
109291 AD-TEC AX-1000Ⅲ-27M-LC-GT RF GENERATOR Spares 1 as is where is
109292 AD-TEC TR-3000-EI1-ET RF GENERATOR Spares 1 as is where is
4247 ADE 020986-10 259.82 uM thickness standard Spares 1 as is where is immediately
4249 ADE 020986-14 360.01 uM thickness standard Spares 1 as is where is immediately
109624 Adtec AX-100 MF Generator SPARES 1 inquire
109625 Adtec AX-100 MF Generator SPARES 1 inquire
109626 Adtec AX-100 MF Generator SPARES 1 inquire
109627 Adtec AX-100 MF Generator SPARES 1 inquire
109628 Adtec AX-3000 MF Generator SPARES 1 inquire
109629 Adtec AX-3000 P Generator SPARES 1 inquire
109312 Advanced Energy NAVIGATOR-1013 RF GENERATOR Spares 1 as is where is
109313 Advanced Energy NAVIGATOR-1013 RF GENERATOR Spares 1 as is where is
109314 Advanced Energy NAVIGATOR-1013 RF GENERATOR Spares 2 as is where is
109315 Advanced Energy NAVIGATOR-1013 RF GENERATOR Spares 9 as is where is
109316 Advanced Energy NAVIGATOR-2013 RF GENERATOR Spares 1 as is where is
109317 Advanced Energy NAVIGATOR-2013 RF GENERATOR Spares 1 as is where is
109318 Advanced Energy NAVIGATOR-2013 RF GENERATOR Spares 1 as is where is
109319 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 2 as is where is
109320 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 8 as is where is
109321 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 1 as is where is
109322 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 1 as is where is
109323 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 1 as is where is
109324 Advanced Energy NAVIGATOR-3013 RF GENERATOR Spares 2 as is where is
109325 Advanced Energy OVATION-35162 RF GENERATOR Spares 1 as is where is
109328 Advanced Energy PARAMOUNT-6013 RF GENERATOR Spares 1 as is where is
109329 Advanced Energy PARAMOUNT-6013 RF GENERATOR Spares 2 as is where is
109330 Advanced Energy PARAMOUNT-6013 RF GENERATOR Spares 1 as is where is
109331 Advanced Energy PDX-1250 RF GENERATOR Spares 1 as is where is immediately
109333 Advanced Energy PDX-900-2V RF GENERATOR Spares 7 as is where is immediately
109334 Advanced Energy PDX-900-2V RF GENERATOR Spares 6 as is where is immediately
109335 Advanced Energy PDX-900-2V RF GENERATOR Spares 3 as is where is immediately
109336 Advanced Energy PDX-900-2V RF GENERATOR Spares 9 as is where is immediately
109337 Advanced Energy PDX-900-2V RF GENERATOR Spares 1 as is where is immediately
109338 Advanced Energy PE-1000 RF GENERATOR Spares 1 as is where is
109339 Advanced Energy PINACLE-20K RF GENERATOR Spares 7 as is where is
109340 Advanced Energy PINACLE-20K RF GENERATOR Spares 10 as is where is
109341 Advanced Energy PINACLE-20K RF GENERATOR Spares 1 as is where is
109342 Advanced Energy RAPID-F RF GENERATOR Spares 4 as is where is
109343 Advanced Energy RAPID-F RF GENERATOR Spares 1 as is where is
109344 Advanced Energy RAPID-F RF GENERATOR Spares 11 as is where is
109345 Advanced Energy RAPID-F RF GENERATOR Spares 1 as is where is
109346 Advanced Energy RFG-3001 RF GENERATOR Spares 1 as is where is
109347 Advanced Energy VM-5000 RF GENERATOR Spares 1 as is where is
109348 Advanced Energy VM-5000 RF GENERATOR Spares 1 as is where is
109349 Advanced Energy XSTREAM-8K RF GENERATOR Spares 1 as is where is
107114 Advanced Energy LF-5 RF Generator Spares 1 as is where is immediately
109293 Advanced Energy APEX-1513 RF GENERATOR Spares 23 as is where is
109294 Advanced Energy APEX-1513 RF GENERATOR Spares 1 as is where is
109295 Advanced Energy APEX-1513 RF GENERATOR Spares 1 as is where is
109296 Advanced Energy APEX-3013 RF GENERATOR Spares 15 as is where is
109297 Advanced Energy APEX-3013 RF GENERATOR Spares 2 as is where is
109298 Advanced Energy APEX-3013 RF GENERATOR Spares 2 as is where is
109299 Advanced Energy APEX-3013 RF GENERATOR Spares 1 as is where is
109300 Advanced Energy ATX-600 RF GENERATOR Spares 1 as is where is
109301 Advanced Energy ATX-600 RF GENERATOR Spares 1 as is where is
109302 Advanced Energy HFV-8000 RF GENERATOR Spares 1 as is where is
109304 Advanced Energy MDX-10K RF GENERATOR Spares 1 as is where is
109305 Advanced Energy MDX-10K RF GENERATOR Spares 2 as is where is
109306 Advanced Energy MDX-10K RF GENERATOR Spares 1 as is where is
109307 Advanced Energy MDX-20K RF GENERATOR Spares 1 as is where is
109308 Advanced Energy MDX-L12 RF GENERATOR Spares 1 as is where is immediately
109309 Advanced Energy MDX-L12 RF GENERATOR Spares 1 as is where is
109310 Advanced Energy MDX-L6 RF GENERATOR Spares 1 as is where is immediately
109311 Advanced Energy NAVIGATOR-10013 RF GENERATOR Spares 1 as is where is
77166 Advantech IPC-5475 data acquisition card Spares 3 as is where is immediately
77167 Advantech HK-6323 data acquisition card ISA Spares 2 as is where is immediately
77206 Advantech PCL-745B data acquisition card 2-port RS422/485 Rev B1 Spares 1 as is where is immediately
77207 Advantech PCL-730 data acquisition card 32ch TTL DIO Spares 1 as is where is immediately
74142 Advantech PCL-730 32-ch Isolated Digital I/O Card w/32ch TTL DIO 31.12.2007 2 as is where is
74143 Advantech PCL-745B 2-port RS-422/485 ISA COMM Card w/ isolation 31.12.2007 2 as is where is
83498 Advantest BGR-016797 ADVANTEST T5335P PC Board (was 80207) 6 as is where is immediately
83499 Advantest BGR-016796 ADVANTEST T5335P PC Board Spares 5 as is where is immediately
83500 Advantest BGR-021096 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
83501 Advantest BGR-020765 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
83502 Advantest BGR-017418 ADVANTEST T5335P PC Board HV PPS Spares 2 as is where is immediately
83503 Advantest BGK-017719 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
83504 Advantest BGK-011702 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80194 Advantest BGR-020773 ADVANTEST T5335P PC Board Spares 7 as is where is immediately
80195 Advantest BGR-020853 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80196 Advantest BGR-020816X02 ADVANTEST T5335P PC Board 2 as is where is immediately
80197 Advantest BGR-020774 rev x2 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80198 Advantest BGR-020814 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80199 Advantest BGR-020771 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80200 Advantest BGR-020509 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80201 Advantest BGR-020772 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80202 Advantest BGR-017577 ADVANTEST T5335P PC Board BGR-017575 Spares 2 as is where is
80203 Advantest BGR-019486 ADVANTEST T5335P PC Board Spares 11 as is where is immediately
80204 Advantest BGR-020851 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80205 Advantest BGR-017578 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80206 Advantest BGR-017579 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80207 Advantest BGR-016796 ADVANTEST T5335P PC Board PGR-816796CC3 Spares 6 as is where is immediately
80208 Advantest BGR-018931 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80209 Advantest BGR-016794 PGR-816794CC3 PC Board Spares 2 as is where is immediately
80210 Advantest BGR-016793 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
83550 Advantest WUN-MONITORBOX ADVANTEST power supply monitoring box, T5335P Spares 2 as is where is immediately
92006 Advantest BGR-016796 ADVANTEST T5335P PC Board spares 1 as is where is immediately
92007 Advantest BGR-018822 ADVANTEST T5335P PC Board spares 1 as is where is immediately
92008 Advantest BGR-017418 ADVANTEST T5335P PC Board spares 1 as is where is immediately
80293 Advantest BGR-019267 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80294 Advantest BGR-020900 ADVANTEST T5335P PC Board Spares 4 as is where is immediately
80295 Advantest BGR-019266 ADVANTEST T5335P MRA I/F PC Board Spares 1 as is where is immediately
80296 Advantest BGR-017417 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80297 Advantest BGR-018824 Rev X03 ADVANTEST T5335P PC Board Spares 8 as is where is immediately
80298 Advantest BGR-018823 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80299 Advantest BGR-018822 ADVANTEST T5335P PC Board PGR-818822BB2 Spares 4 as is where is immediately
80300 Advantest BGR-018125 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80301 Advantest BGR-020815 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80302 Advantest BIR-021807 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
82926 Advantest WUN-H90554AIR ADVANTEST air control unit Spares 1 as is where is immediately
108993 Advantest / アドバンテスト BGR-018823 REV X03 PC BOARD FOR ADVANTEST T5335P Spares 1 immediately
109837 AE (Advanced Energy) RF-20 Generator SPARES 1 inquire
109838 AE (Advanced Energy) RF-30P Generator SPARES 1 inquire
109839 AE (Advanced Energy) RF-30S Generator SPARES 1 inquire
109840 AE (Advanced Energy) RF-30S Generator SPARES 1 inquire
109841 AE (Advanced Energy) RF-5S Generator SPARES 1 inquire
109842 AE (Advanced Energy) RF-5S Generator SPARES 1 inquire
109843 AE (Advanced Energy) RF-5S Generator SPARES 1 inquire
109844 AE (Advanced Energy) RF-5S Generator SPARES 1 inquire
109881 AE (Advanced Energy) Sparc-le 20 Power Supply SPARES 1 inquire
109882 AE (Advanced Energy) Sparc-le V Generator SPARES 1 inquire
109883 AE (Advanced Energy) Sparc-le V Generator SPARES 1 inquire
109884 AE (Advanced Energy) Sparc-le-V Generator SPARES 1 inquire
109630 AE (Advanced Energy) AM-20 Match SPARES 1 inquire
109631 AE (Advanced Energy) AMNPS-2A Controller Miscellaneous SPARES 1 inquire
109632 AE (Advanced Energy) AMNPS-2A Controller Miscellaneous SPARES 1 inquire
109706 AE (Advanced Energy) LF-5 Generator SPARES 1 inquire
109707 AE (Advanced Energy) LF-5 Generator SPARES 1 inquire
109708 AE (Advanced Energy) LF-5 Generator SPARES 1 inquire
109709 AE (Advanced Energy) LF-5 Generator SPARES 1 inquire
109710 AE (Advanced Energy) LF-5 Generator SPARES 1 inquire
109726 AE (Advanced Energy) MDX-10K Master Generator SPARES 1 inquire
109727 AE (Advanced Energy) MDX-10K Master Generator SPARES 1 inquire
109728 AE (Advanced Energy) MDX-10K Slave Generator SPARES 1 inquire
109729 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109730 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109731 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109732 AE (Advanced Energy) MDX-20K Slave Generator SPARES 1 inquire
109733 AE (Advanced Energy) MDX-5K Generator SPARES 1 inquire
109734 AE (Advanced Energy) MDX-5K Generator SPARES 1 inquire
109735 AE (Advanced Energy) MDX-5K Generator SPARES 1 inquire
109736 AE (Advanced Energy) MDX-L Diagnostic Controller Miscellaneous SPARES 1 inquire
109737 AE (Advanced Energy) MDX-L Diagnostic Controller Miscellaneous SPARES 1 inquire
109738 AE (Advanced Energy) MDX-L Diagnostic Controller Miscellaneous SPARES 1 inquire
109744 AE (Advanced Energy) Mini-Panel Miscellaneous SPARES 1 inquire
109745 AE (Advanced Energy) Mini-Panel Miscellaneous SPARES 1 inquire
109747 AE (Advanced Energy) Navigator 10013-L80 Match SPARES 1 inquire
109773 AE (Advanced Energy) PE-1000 Generator SPARES 1 inquire
109774 AE (Advanced Energy) PE-2500 Generator SPARES 1 inquire
109775 AE (Advanced Energy) PE-2500 Generator SPARES 1 inquire
109776 AE (Advanced Energy) PE-2500 Generator SPARES 1 inquire
109777 AE (Advanced Energy) PE-2500 Generator SPARES 1 inquire
81826 AEG 2A 400-100 H Power Driver Controller 2A Spares 31.12.2004 1 as is where is immediately
18870 AGILENT 03577-90212 AGILENT 3571A network analyzer service manual 1 as is where is
80268 AIR PRODUCTS R-704-VCR PRESSURE REGULATORS TEST APSA46/2250 30.04.1993 2 as is where is immediately
83904 AIR PRODUCTS F-074-VCR PRESSURE REGULATOR 10-50L MIN HCL P/N: 101203-2 01.10.1989 1 as is where is immediately
108721 AIR SYSTEM INTL SVB-E8EXP Electric Blower, Explosion Proof FACILITIES 1 as is where is immediately
108797 AIRCO TEMESCAL CV-8-210 E Beam Power Supply Controller, 3ea Available - Parts Only SPARES 1 inquire
108722 AKRION V2-SA.3200 Wet Process Station Including Tanks 150 mm 01.12.1996 1 as is where is immediately
108723 AKRION V2-HL.2000 Acid Wet Bench 150 mm 1 inquire immediately
108724 Alcan Tech/Canon MAS-8000 Microwave Ashing System 200 mm 1 as is where is immediately
108726 ALESSI REL-4500 Analytical Wafer Prober with 6" (dia.) Gold Plated Chuck 150 mm 1 inquire immediately
108798 ALESSI REL- Series 5000 Analytical Prober with Mitutoyo FS-70 Zoom Microscope with LWD Objective Lenses, New Wave QuikLaze 532/355 Laser with 50X Lens, Missing Computer 1 inquire
108799 ALESSI REL-4100A Analytical Prober 1 inquire
77093 Allen Bradley 800F-PN3GX11 Contact module 24V, AMI S3320-15-1, pk of 3 1 as is where is
83593 Allen Bradley Micro-Logix 1200 PLC Module 1 as is where is immediately
83595 Allen Bradley 1762-IF4 PLC 4-ch input module 1 as is where is immediately
100700 Alphasem DB 608-PRL Die Sort System Assembly 01.09.1996 1 as is where is immediately
86305 AMAT 0010-20422 Endura 5500 PVD 8" Shield treatment and cover assembly 1 as is where is immediately
84551 AMAT 0041-61611 Retaining ring, Titan II, 8" *NEW* 1 as is where is immediately
83588 AMAT 0040-77544 Rorze Ergo Loader Turn Plate Mirra CMP FABS-202 AMAT 0040-77544 Spares 1 as is where is immediately
108978 AMAT 0010-36736 Heater 1 as is where is immediately
110785 AMAT 0195-01314 Dual zone ceramic heater 300mm 1 as is where is immediately
83669 AMAT 0190-24834-002 MFC Celerity ufc-8165 1L H2 3 as is where is immediately
109357 AMETEK SGA200X25E-1DAA RF GENERATOR Spares 15 as is where is
74261 AMI Presco ACL-TS Solar wafer picker tool 31.12.2006 1 as is where is immediately
77188 AMI Presco USP206-2E Solar wafer conveyor, 156mm for use with screen printers, etc. 156mm 1 as is where is immediately
84221 ANELVA 954-7700 Vacuum Feedthrough Spares 1 as is where is immediately
34740 AP & S TwinStep-B H3P04 Semi-Automatic Wet Bench, used for H3PO4 with a 2 stage Megasonic and QDR 200 mm 01.10.2005 1 as is where is immediately
100908 AP Systems KORONA-1200P METAL RTP 300 mm 31.05.2005 1 as is where is
108132 APPLIED BIOSYSTEM ABI-3700 ANALYZER Automatic Sequencer 01.06.2000 1 as is where is
110848 Applied Materials IT1011-N31-X4 SMC UPA Regulator (X4) - CMP HEAD Spares 5 inquire
110849 Applied Materials 0090-00963 UPA Pressure Transducer - CMP HEAD Spares 5 inquire
110850 Applied Materials 0140-77300 Wafer loss sensor Cable - CMP HEAD Spares 5 inquire
110851 Applied Materials 0140-77631 Head Rotation/ Resolver cable - CMP HEAD Spares 5 inquire
110852 Applied Materials 0021-77866 Drive spindle clamp - CMP HEAD Spares 5 inquire
110853 Applied Materials 0190-77116 Flexible disk - CMP HEAD Spares 5 inquire
110854 Applied Materials EE-SX672 Sweep Home flag sensor - CMP HEAD Spares 5 inquire
110855 Applied Materials 0140-7763 Head Rotation Power cable HD 1 - CMP HEAD Spares 5 inquire
110856 Applied Materials 0140-7764 Head Rotation Power cable HD 2 - CMP HEAD Spares 5 inquire
110857 Applied Materials 0140-7765 Head Rotation Power cable HD3 - CMP HEAD Spares 5 inquire
110858 Applied Materials 0140-7766 Head Rotation Power cable HD4 - CMP HEAD Spares 5 inquire
110860 Applied Materials 0100-77040 Head Pneumatics board Titan - CMP HEAD Spares 5 inquire
110862 Applied Materials 0190-38704 SMC2000 - Node 24 - CMP Cleaner Spares 5 inquire
110863 Applied Materials 0040-64397 Megasonic Halar Tank - CMP Cleaner Spares 5 inquire
110864 Applied Materials MS1-286X70-4A-Q Megasonic Transducer Plate - CMP Cleaner Spares 5 inquire
110865 Applied Materials AP-50 Megasonic White Knight Pump - CMP Cleaner Spares 5 inquire
110867 Applied Materials ETN23A-SC-B (Orion Pel-Thermo) Megasonic Heat Exchanger - CMP Cleaner Spares 5 inquire
110868 Applied Materials 0021-79131 Walking Beam Finger Pins - CMP Cleaner Spares 5 inquire
110869 Applied Materials 0040-43238 Solid PEEK mega rollar - CMP Cleaner Spares 5 inquire
110870 Applied Materials 0190-77181 Brush Position Roller Servomotor Scrubber - CMP Cleaner Spares 5 inquire
110871 Applied Materials 0190-77182 Scrubber Brush Servomotor - CMP Cleaner Spares 5 inquire
110872 Applied Materials 0190-77212 Output Station Servo Motor - CMP Cleaner Spares 5 inquire
110874 Applied Materials 0190-77200 SRD Servo Motor - CMP Cleaner Spares 5 inquire
110875 Applied Materials 1080-01207 Walking Beam Servo Motor x - CMP Cleaner Spares 5 inquire
110876 Applied Materials 1080-01207 Walking Beam Servo Motor y - CMP Cleaner Spares 5 inquire
110877 Applied Materials 0090-77173 Wafer Present Switch - CMP Cleaner Spares 5 inquire
110878 Applied Materials 0010-04118 Wafer Presence Sensor - CMP Cleaner Spares 5 inquire
110879 Applied Materials 0090-00915 Megasonice progammable level sensor - CMP Cleaner Spares 5 inquire
110880 Applied Materials 0090-00916 Megasonic Tank High Level Switch - CMP Cleaner Spares 5 inquire
110881 Applied Materials 0090-00917 Megasonic Tank Level Level Switch - CMP Cleaner Spares 5 inquire
110882 Applied Materials 0090-77239 Harness, H202 HH Level Sensor - CMP Cleaner Spares 5 inquire
110883 Applied Materials 0090-77240 Harness, H202 High Level Sensor - CMP Cleaner Spares 5 inquire
110884 Applied Materials 0090-77241 Harness, H202 Low Level Sensor - CMP Cleaner Spares 5 inquire
110885 Applied Materials 0090-77242 Harness, H202 LL Level Sensor - CMP Cleaner Spares 5 inquire
110886 Applied Materials 1400-01056 Input Shuttle Limit Sensors - CMP Cleaner Spares 5 inquire
109095 Applied Materials 0010-10527 Polyimide ESC PEDESTAL, MxP-5200 Poly 8" Flat 200 mm 1 as is where is immediately
110887 Applied Materials 0090-77203 Shuttle Wafer Presence Switch - CMP Cleaner Spares 5 inquire
109352 Applied Materials 0090-05530 RF GENERATOR Spares 1 as is where is
110888 Applied Materials 0090-77179 Gripper sensor harness, arm 1 - CMP Cleaner Spares 5 inquire
109353 Applied Materials 0090-05530 RF GENERATOR Spares 1 as is where is
110889 Applied Materials 0090-77180 Gripper sensor harness, arm 2 - CMP Cleaner Spares 5 inquire
109354 Applied Materials P-5000 RF GENERATOR Spares 1 as is where is
110890 Applied Materials 0090-77181 Gripper sensor harness, arm 3 - CMP Cleaner Spares 5 inquire
109355 Applied Materials P-5000 RF GENERATOR Spares 1 as is where is
110891 Applied Materials 0090-77182 Gripper sensor harness, arm 4 - CMP Cleaner Spares 5 inquire
110892 Applied Materials 0090-77183 Gripper sensor harness, arm 5 - CMP Cleaner Spares 5 inquire
110893 Applied Materials 1400-01055 Photosensor Interrupter L Shape W/LED & Amp - CMP Cleaner Spares 5 inquire
109358 Applied Materials e-MAX RF MATCH RF GENERATOR Spares 6 as is where is
110894 Applied Materials 0090-00861 Harness, Wafer Present Switch - CMP Cleaner Spares 5 inquire
109359 Applied Materials HDP-TOP RF GENERATOR Spares 1 as is where is
110895 Applied Materials 0021-79644 Megtank Roller holder - CMP Cleaner Spares 5 inquire
110896 Applied Materials 0010-77582 Idler Assembly - CMP Cleaner Spares 5 inquire
109361 Applied Materials P-5000 POLY RF GENERATOR Spares 1 as is where is
110897 Applied Materials 0015-77174 Brush wafer roller motor pulley - CMP Cleaner Spares 5 inquire
110898 Applied Materials 0010-05398 zaytran assy dry and wet set - CMP Cleaner Spares 5 inquire
110899 Applied Materials 0010-77380 Lower Stopper Assembly - CMP Cleaner Spares 5 inquire
110900 Applied Materials 0010-77323 Brush gear assy - CMP Cleaner Spares 5 inquire
110901 Applied Materials 0015-77287 Pulley Driver - CMP Cleaner Spares 5 inquire
110902 Applied Materials 0190-77502 Vessel, Pressure, 2-Chamber - CMP Cleaner Spares 5 inquire
110903 Applied Materials 0190-77397 Chem Vessel, 2.4 Liter - CMP Cleaner Spares 5 inquire
91192 Applied Materials P5000 Mark-II CVD TEOS 200 mm 1 as is where is
110904 Applied Materials 0190-77393 Bleed Valve Manifold - CMP Cleaner Spares 5 inquire
91193 Applied Materials P5000 Mark-II CVD TEOS 150 mm 1 as is where is
110905 Applied Materials 3220-01136 Coupling shaft, Walking beam Y-axis Motor - CMP Cleaner Spares 5 inquire
91194 Applied Materials P5000 Mark-II CVD DxL 200 mm 1 as is where is
110906 Applied Materials 1010-01437 Quartz Infrared Halogen Lamp - CMP Cleaner Spares 5 inquire
91195 Applied Materials P5000 Mark-II CVD TEOS 200 mm 1 as is where is
110907 Applied Materials 0190-36511 Devicenet I/O Block Specification - CMP Cleaner Spares 5 inquire
91196 Applied Materials P5000 Mark-II CVD+Etch Sputter 200 mm 1 as is where is
108348 Applied Materials Centura AP ASP II - Chamber Only Metal Etch 300 mm 1 as is where is
110908 Applied Materials 0870-01038 200V 100W SGDA - CMP Cleaner Spares 5 inquire
110909 Applied Materials 0870-01040 200V 200W SGDA - CMP Cleaner Spares 5 inquire
110910 Applied Materials 0870-01039 200V 400W SGDA - CMP Cleaner Spares 5 inquire
91199 Applied Materials P5000 Mark-II CVD+PVD TEOS 2Ch, SPUTTER 2Ch 200 mm 01.05.1997 1 as is where is
110911 Applied Materials 1140-01353 Power Supply, DC 24V 4A 100W 115/230VAC SDN 4-24-100 (SL4) - CMP Cleaner Spares 5 inquire
110912 Applied Materials 1140-01367 Power Supply, DC 24V 5A 120W 115/230VAC SDN 5-24-100 (SL5) - CMP Cleaner Spares 5 inquire
91201 Applied Materials P5000 Mark-II CVD+Etch TEOS 2Ch, Sputter 2Ch 200 mm 01.05.2000 1 as is where is
110913 Applied Materials 1140-01368 Power Supply, DC 24V 10A 240W 115/230VAC SDN 10-24-100 (SL10) - CMP Cleaner Spares 5 inquire
110914 Applied Materials 0010-77682 Megasonics Lower Electronics - CMP Cleaner Spares 5 inquire
110915 Applied Materials 0010-77680 SRD Lower Electronics - CMP Cleaner Spares 5 inquire
110916 Applied Materials 0010-77681 System Lower Electronics - CMP Cleaner Spares 5 inquire
108357 Applied Materials Endura II Front-End Metallization PVD (Physical Vapor Deposition) 300 mm 3 as is where is
110917 Applied Materials 0190-06673 Fabs Robot Assy - CMP FABS Spares 5 inquire
110918 Applied Materials 3350-50000 Fabs Robot Ceramic Blade - CMP FABS Spares 5 inquire
110924 Applied Materials 0100-13016 Assembly, PCB, Controller I/O Backplane PCB for MIRRA Controller - CMP controller Spares 5 inquire
110926 Applied Materials 1110-01058 Port Server -Digi EL16 with PS - CMP controller Spares 5 inquire
110927 Applied Materials 0660-01820 Applied Material MEI Board - CMP controller Spares 5 inquire
110928 Applied Materials 0100-09054 Applied Material AIO Board - CMP controller Spares 5 inquire
110929 Applied Materials 0100-20003 DIO board - CMP controller Spares 5 inquire
110930 Applied Materials 0100-77035 Robot X Track board - CMP controller Spares 5 inquire
110931 Applied Materials 0190-14372 Pad Cond Driver SGDA-01AS - CMP controller Spares 5 inquire
110932 Applied Materials SGDB-44ADG Platen Driver - CMP controller Spares 5 inquire
110933 Applied Materials SGDA-04AS Cross driver - CMP controller Spares 5 inquire
110934 Applied Materials SGDA-02AS Robot x driver - CMP controller Spares 5 inquire
110935 Applied Materials 0870-01080 Vexta Wet Robot Driver - CMP controller Spares 5 inquire
110942 Applied Materials 0190-03358 AMAT Power supply SP664 - CMP controller Spares 5 inquire
110943 Applied Materials 0110-77037 Controller Interlock Board 1 - CMP controller Spares 5 inquire
110944 Applied Materials 0110-77038 Controller Interlock Board 2 - CMP controller Spares 5 inquire
109153 Applied Materials Reflexion - Dielectric Dielectric CMP 300mm 1 as is where is
106615 Applied Materials G5-MESA DRY ETCH EFEM 300 MM 1 as is where is
36487 APPLIED MATERIALS P-5000 DxL DxL, TEOS 150mm 1 inquire
101768 Applied Materials 0010-00557 REV A Heat Exchanger FACILITIES 31.08.1988 1 as is where is immediately
18840 APPLIED MATERIALS 0230-00101 Precision etch 8300 1 as is where is
18841 APPLIED MATERIALS 0230-09130 Precision 5000 Mark II spare part identified 1 as is where is
18842 APPLIED MATERIALS 0230-09259 Precision 5000 Mark II Mainframe and support equipment manual 1 as is where is
18843 APPLIED MATERIALS 079-1202-0D PR 5000 Advanced prev. And corrective main 1 as is where is
4252 Applied Materials 0230-09130 P5000 SPARE PARTS IDENTIFIER Spares 01.01.1992 1 as is where is
18844 APPLIED MATERIALS 026-110-0B1 PR 5000 Func desc. Practice exercici and basic prev. maintenance procedures 1 as is where is
111054 Applied Materials 0040-55456 BELLJAR, 21 OD 300MM PC XT/XTE - PC-XT Spares 5 inquire
111055 Applied Materials 0200-01903 INSULATOR PEDESTAL QUARTZ 300MM PCII - PC-XT Spares 5 inquire
111056 Applied Materials 0020-19258 PEDESTAL TITANIUM 300MM PCII - PC-XT Spares 5 inquire
111057 Applied Materials 0021-19342 SHIELD INNER 300MM PCII/RPC+ - PC-XT Spares 5 inquire
111058 Applied Materials 0040-86514 SHIELD, LOWER 300MM PCXT/RPC+ - PC-XT Spares 5 inquire
111059 Applied Materials 0040-07291 DEPOSITION RING ADV 101 300MM - DSTTN Spares 5 inquire
111060 Applied Materials 0021-26609 SHUTTER DISK, A101, TTN, CENTER PIN, BLUE, 300MM - DSTTN Spares 5 inquire
111061 Applied Materials 0021-22064 COVER RING, TTN, 300MM PVD - DSTTN Spares 5 inquire
111062 Applied Materials 0021-22065 SHIELD, LOWER HI-COND TTN, 300MM PVD - DSTTN Spares 5 inquire
110807 Applied Materials 0240-31390 HCLU Load Cup Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111063 Applied Materials 0021-21234 SHIELD, UPPER HI-COND TIN LONG, 300MM PVD - DSTTN Spares 5 inquire
110808 Applied Materials 0010-77153 Wet Robot Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111064 Applied Materials 0021-26565 SHUTTER DISK - Aluminum Spares 5 inquire
110809 Applied Materials 1080-01170 Platen Motor Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111065 Applied Materials 0021-45259 SHIELD, 1-PIECE, BEADBLAST, SST, STD PVD - Aluminum Spares 5 inquire
110810 Applied Materials 0190-14344 NSK Motor/driver Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111066 Applied Materials 0021-84173 COVER RING - Aluminum Spares 5 inquire
106203 Applied Materials Mirra 3400 Stand-Alone Oxide/STI CMP 200 mm 01.06.1998 1 inquire
111067 Applied Materials 0200-07492 DEPOSITION RING - Aluminum Spares 5 inquire
110813 Applied Materials 0040-77041 Mirra teflon Coated upper polish platen - CMP Polisher and Lower Mirra Spares 5 inquire
109534 Applied Materials 990-004763-015 Pad Con Analog Pneumatic Unit Spares 1 as is where is immediately
110814 Applied Materials 3080-01119 Platen Motor Belt - CMP Polisher and Lower Mirra Spares 5 inquire
106207 Applied Materials 0240-20611 REV E KIT SLIT VALVE PER CHAMBER REV E Spares 01.06.1999 1 as is where is immediately
109535 Applied Materials 990-004763-015 (Upgrade) Upgrade for Pad Con Analog Pneumatic Assembly Spares 1 as is where is immediately
110815 Applied Materials 0190-17083 Platen Polish Bearing - CMP Polisher and Lower Mirra Spares 5 inquire
106208 Applied Materials 0040-13659 REV P1 BLOCK, MTG Spares 01.06.1999 1 as is where is immediately
110816 Applied Materials 3970-00030 Platen Gear Box/ Head - CMP Polisher and Lower Mirra Spares 5 inquire
106209 Applied Materials 0020-21089 SHIELD Spares 01.06.1999 1 as is where is immediately
110817 Applied Materials 0190-77114 Yaskawa Cross Servo Motor Model: SGM-04UWB4L - CMP Polisher and Lower Mirra Spares 5 inquire
106210 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1998 1 as is where is immediately
110818 Applied Materials 0010-12344 Pad cond Assembly - CMP Polisher and Lower Mirra Spares 5 inquire
106211 Applied Materials 0050-20072 REV B GAS LINE CHAMBER 3 HEATER MFC 1 VCR Spares 01.06.1999 1 as is where is immediately
110819 Applied Materials 0190-77016 Pad cond sweep motor (Yaskawa SGM-01U3B4L) - CMP Polisher and Lower Mirra Spares 5 inquire
106212 Applied Materials 0050-76652 REV A GAS LINE CHAMBER 2 PROCESS MFC 19 MIXED Spares 01.06.1999 1 as is where is immediately
110820 Applied Materials 0190-77015 Pad cond rotation motor (Yaskawa SGM-01U3B4CL) - CMP Polisher and Lower Mirra Spares 5 inquire
106213 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1999 2 as is where is immediately
110821 Applied Materials 0010-77721 Wet Robot Blade - CMP Polisher and Lower Mirra Spares 5 inquire
106214 Applied Materials 0090-20042 REV D ASSY 3 WAY VALVE Spares 01.06.1999 5 as is where is immediately
110822 Applied Materials 0020-78177 Wet Robot blade gasket - CMP Polisher and Lower Mirra Spares 5 inquire
106215 Applied Materials 0020-20483 REV E HUB LAMP CORNER COVER Spares 01.06.1998 2 as is where is immediately
110823 Applied Materials 0190-16236 Wet robot -Wrist Motor - CMP Polisher and Lower Mirra Spares 5 inquire
106216 Applied Materials 0140-20502 REV D HARN ASSY AC 2-PHASE DRIVER Spares 01.06.1999 1 as is where is immediately
110824 Applied Materials 0190-16235 Wet robot - Shoulder Motor - CMP Polisher and Lower Mirra Spares 5 inquire
106217 Applied Materials 0020-20523 COVER Spares 01.06.1999 1 as is where is immediately
110825 Applied Materials 0190-17944 Wet robot -Z-Axis (Up and Down Motion) Motor Assembly - CMP Polisher and Lower Mirra Spares 5 inquire
106218 Applied Materials 0240-70416 REV C KIT BLOW OUT VALVE PER CHAMBER Spares 01.06.1999 1 as is where is immediately
110826 Applied Materials 0100-77069 ISRM Module - Full Scan (77069) - CMP Polisher and Lower Mirra Spares 5 inquire
106219 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1999 1 as is where is immediately
110827 Applied Materials 0100-00845 laser diode mdl 390a-670-5 - CMP Polisher and Lower Mirra Spares 5 inquire
106220 Applied Materials 0190-20015 REV D VALVE / MANIFOLD Spares 01.06.1998 1 as is where is immediately
110828 Applied Materials 0100-77064 ISRM Module - Legacy (77064) - CMP Polisher and Lower Mirra Spares 5 inquire
106221 Applied Materials 3830-01018 REV LABEL LASERTAB MARKER WHT POLYEST LAM Spares 01.06.1999 2 as is where is immediately
110829 Applied Materials 0090-77110 ISRM Cable (to slip ring) - CMP Polisher and Lower Mirra Spares 5 inquire
106222 Applied Materials 3830-01034 REV LABEL LASERTAB MARKER WHT POLYEST LAM Spares 01.06.1999 1 as is where is immediately
110830 Applied Materials 0720-02672 Slip Ring (Conn 6cond Rotating feed through) - CMP Polisher and Lower Mirra Spares 5 inquire
106223 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1998 1 as is where is immediately
110831 Applied Materials 990-004763-015 Pad Cond Analog Board - CMP Polisher and Lower Mirra Spares 5 inquire
106224 Applied Materials 3870-01281 REV VALVE BLANK PLATE ASSY FOR SMC P/N NVJ11 Spares 01.06.1999 3 as is where is immediately
110832 Applied Materials 0100-77017 Lower Pneumatic Board - CMP Polisher and Lower Mirra Spares 5 inquire
106225 Applied Materials 3870-01284 VALVE BLANK PLATE ASSY FOR SMC P/N NVJ3023 Spares 01.06.1999 1 as is where is immediately
110833 Applied Materials 990-004765-107 Digital Pneutronics Board (Normally Open) - CMP Polisher and Lower Mirra Spares 5 inquire
106226 Applied Materials 0090-20042 REV D ASSY 3 WAY VALVE Spares 01.06.1999 1 as is where is immediately
110834 Applied Materials 990-004765-104 Digital Pneutronics Board (Normally Close) - CMP Polisher and Lower Mirra Spares 5 inquire
106227 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1999 1 as is where is immediately
110835 Applied Materials MFC-8005-T2105-072-N-001 Chemical Malema Pump - CMP Polisher and Lower Mirra Spares 5 inquire
106228 Applied Materials 0020-20626 REV C BLOCK MOUNTING SHUT-OFF VALVE Spares 01.06.1998 1 as is where is immediately
110836 Applied Materials MFC-8000-T2104-052-P-001 Slurry Malema Pump - CMP Polisher and Lower Mirra Spares 5 inquire
110837 Applied Materials UPM2-646NC Furon Valve UPM2-646NC - CMP Polisher and Lower Mirra Spares 5 inquire
110838 Applied Materials UPM3-644 Furon Valve UPM3-644 - CMP Polisher and Lower Mirra Spares 5 inquire
110839 Applied Materials UPM2-644NC Furon Valve UPM2-644NC - CMP Polisher and Lower Mirra Spares 5 inquire
110841 Applied Materials 0140-08725 P2 cable Harness, E-chain AIO head, 200mm - CMP HEAD Spares 5 inquire
110842 Applied Materials 0140-77637 P1 cable C/A Digital I/O head pneumatic - CMP HEAD Spares 5 inquire
71931 Applied Materials 0050-76664 REV A GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE spares 01.06.1999 1 as is where is immediately
110843 Applied Materials 0140-77632 Head Sweep Cable - CMP HEAD Spares 5 inquire
71932 Applied Materials 0020-20919 REV C COVER CVD POST spares 31.05.1999 1 as is where is immediately
110844 Applied Materials 0190-77482 Head Sweep Motor assy - CMP HEAD Spares 5 inquire
71933 Applied Materials 0150-21344 CHAMBER D INTERCONNECT EMC COMPLIANT spares 31.05.1999 1 as is where is immediately
110845 Applied Materials 0760-01034 3-port, 4-port Rotary Union (0760-01034) - CMP HEAD Spares 5 inquire
110846 Applied Materials 3870-02674 Burkert Valve - CMP HEAD Spares 5 inquire
110847 Applied Materials IT1001-N31-X6 SMC UPA Regulator (X6) - CMP HEAD Spares 5 inquire
110617 Applied Materials ® 0190-33289 RTP CHAMBER LAMP spares 183 as is where is immediately
105851 Applied Materials ® 079-018-05 P5000 Mk II Functional description Practice exercises Spares 30.04.1995 1 as is where is immediately
105852 Applied Materials ® 0230-09258B P5000 Mk II Mainframe and Support Equipment Manual Spares 30.06.1994 1 as is where is immediately
105853 Applied Materials ® 0230-00103 Precision Etch 8300 Corrective Maintenance Rev 3 Spares 30.04.1990 2 as is where is immediately
105854 Applied Materials ® 0230-20005 Endura Operations and Programming Training Course Student Workbook Spares 31.08.1993 1 as is where is immediately
105858 Applied Materials ® 0021-35163 Rev A Gold-plated RTP Reflector Plate, 200 MM, chamber bottom 200 mm 31.05.1997 1 as is where is immediately
6536 Applied Materials ® 0230-09258 B P5000 Mk II Mainfame support and equipment manual cleanroom July 1994 Spares 01.07.1994 1 as is where is immediately
6537 Applied Materials ® 026-105-03 C P5000 Mk II Functional description training manual Spares 01.04.1995 1 as is where is immediately
6538 Applied Materials ® 079-109-0D P5000 Mk II Advanced calibration proceedures manual Jan 1995 Spares 01.01.1995 1 as is where is immediately
6539 Applied Materials ® 079-102-0D P5000 Mk II Advanced preventive and corrective maintenance Apr 1996 Spares 01.04.1996 1 as is where is immediately
6540 Applied Materials ® 026-110-0B.1 P5000 Mk II Functional description , practice exercises and basic maintenance proceedures Spares 01.03.1996 1 as is where is immediately
83894 ASAHI TOOLS K-2 PRECISION WRENCH PARTS 1 as is where is immediately
32217 ASAHI TOOLS K-2 PRECISION WRENCH PARTS 1 as is where is immediately
109363 ASE AMV-1000-27M-ASMGK-L RF GENERATOR Spares 6 as is where is
109364 ASE AMV-1000-27M-ASMGK-R RF GENERATOR Spares 6 as is where is
109365 ASE AMV-1000-SMT RF GENERATOR Spares 1 as is where is
108378 ASM A600 LPCVD-OXIDE Vertical Furnace 300 mm 1 as is where is
108384 ASM Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 300 mm 3 as is where is
81827 ASM 2890224-21 Converter DTC Spares 1 as is where is immediately
81828 ASM 2506556-21 Processor DTC PCB Spares 01.03.2010 1 as is where is immediately
108387 ASML YieldStar S-100B Overlay Measurement System 300 mm 1 as is where is
109158 ASML YieldStar S-200B Overlay Measurement System 300mm 1 as is where is
109159 ASML YieldStar S-250 Overlay Measurement System 300mm 1 as is where is
111343 ASML XT-1900-Gi Immersion Lithography System 300 mm 01.06.2009 1 as is where is immediately
82176 Astec VS3-C2-C2-C2 Power Supply 2000 watts (-450-CE) 1 as is where is immediately
82227 Astec VS3-C2-C2-02 Power Supply 2000 watts (-450-CE) 5.2V FOR CREDENCE DUO TESTER Spares 01.06.2011 2 as is where is immediately
83556 Astec VS3-C8-A8-02 (-451-CE) Power Supply 2000 watts FOR CREDENCE DUO TEST SYSTEM Spares 1 as is where is immediately
83557 Astec VS1-L3-02 (-435-CE) Power Supply 1500 watts 1 as is where is immediately
83558 Astec VS1-D8-02 (-436-CE) Power Supply 1500 watts SPARES 1 as is where is immediately
109942 Astec 9N24-32-372-FQ-3 Power Supply SPARES 1 inquire
80315 Astec VS3-D8-D8-02 Power Supply 2000 watts 2 as is where is immediately
81873 Astec VS1-L3-02 (-335-CE) Power Supply 1500 watts Spares 1 as is where is immediately
81875 Astec VS3-D4-B4-22 (-447-ce) Power Supply 2000 watts Spares 4 as is where is immediately
81876 Astec VS1-L5-02 (-452-ce) Power Supply 1 as is where is immediately
53270 Astec Powertec 9K2-300-372 Super Switcher Power Supply Spares 31.05.1994 2 as is where is immediately
109366 ASTECH ATL-100RA RF GENERATOR Spares 4 as is where is
109367 ASTECH ATL-100RA RF GENERATOR Spares 1 as is where is
109368 ASTECH ATL-100RA-03 RF GENERATOR Spares 4 as is where is
109943 Astech ATL-100RA Match SPARES 1 inquire
109944 Astech ATL-100RA Match SPARES 1 inquire
109945 Astech ATL-100RA Match SPARES 1 inquire
109946 Astech ATL-100RA Match SPARES 1 inquire
109947 Astech ATL-100RA/DT2L Match SPARES 1 inquire
109369 ASTEX ARX-X491 RF GENERATOR Spares 6 as is where is
84765 Asyst 1150-V1315S SMIF Load port 150mm for Lam 4620 150 MM 1 as is where is immediately
102551 Asyst e-charger N2 charge 200mm 2 inquire
95404 Asyst 1150-V1315S SMIF Load port 150mm for Lam 4620 150 MM 1 as is where is immediately
77184 Athena 16C-B-S-0-23-00 Temperature / Process controller 01.02.2012 1 as is where is immediately
108153 ATI OAK-1 Auto Scope Inspection 01.06.2013 1 as is where is
98447 August 3DI-8000 wafer bump inspection 300mm 1 as is where is immediately
98448 August NSX-95 2D Auto inspection system / Macro defect inspection system 200 mm 4 as is where is immediately
100347 Autec ATC-70B-RS Thermal Shock Tester 1 as is where is immediately
109086 Axcelis NV-GSD-200E2 High Current Ion Implanter 150 mm 01.09.2004 1 as is where is immediately
94592 Axcelis PN 1027-0093-0035 Beam Shutter DI 14 SPARES 1 as is where is
94595 Axcelis PS WX 75 N12 0XX0 M 863742-02 GLASSMAN High Voltage DC Power Supply SPARES 1 as is where is
106639 AXCELIS INTEGRA-RS DRY STRIP 300 mm 01.06.2012 1 as is where is
77019 Baccini Sorter - Furnace Output Cell Sorter - Furnace output 156 mm 01.06.2006 1 as is where is immediately
108266 BESI X-Eye SF160 SL X-RAY INSPECTION FOR PCBS ASSEMBLY 01.06.2014 1 as is where is
77169 Bimba Ultran US-1737.125-S Rodless Pneumatic Actuator Spares 1 as is where is immediately
77170 Bimba Ultran US-1734.125-S Rodless Pneumatic Actuator Spares 1 as is where is immediately
108735 Bio-Rad QS-1200 FT-IR Spectrometer 100-200 mm 01.05.2004 1 as is where is immediately
108736 Bio-Rad QS-300 FT-IR Spectrometer 1 as is where is immediately
106427 Blue M CC-13-C-P-B Blue M CC-13-C-P-B 150 mm/200 mm 1 as is where is
109079 Brooks 139750-77 Vacuum back end (VBE) ASSY,VBE,G2-6,L2.5B,M7B,BTH LL,3TP/T,200-300MM 200-300 mm 01.06.2015 1 as is where is immediately
109080 Brooks 241251-0007 Equipment front end module (EFEM) ASSY,JCP,2SR,S2,WE30,VGVG,XXLO,VIVIXXXX,30B,#3065 200 mm and 300 mm 01.06.2015 1 as is where is immediately
106804 Brooks ATR-8 robot alone LAM tool 300mm 1 as is where is immediately
83862 Brooks TT1ENR2-1 Brooks robot Teach Pendant TT1ENR2-1-TVS-ES-Brooks8 Spares 1 as is where is immediately
106105 Brooks / PRI ATM-207-2S-CE Single arm atmospheric wafer handling robot 200/300mm 01.03.2004 1 as is where is 2 weeks
103821 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 31.12.2006 1 inquire immediately
103822 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 01.12.2006 1 inquire immediately
108740 BROOKS AUTOMATION ATM-305 3 Axis Robot, Refurbished spares 1 as is where is immediately
106813 Brooks PRI EDC-2400/2800 PRI robot controller(dual arm robot) 150/200/300 5 inquire
102555 Brooks PRI ESC-200/212/212B/222/218 PRI robot controller( single arm robot) 150/200/300 10 inquire
80256 CAJON SS-4-VC0 FITTINGS 13 as is where is immediately
80258 CAJON SS-8-VC0-4 FITTINGS 5 as is where is immediately
77154 Cam York ST-17-08-153-01-154-01-0-00-C010(1) Centrifual Blower Motor 1/8hp 220V 0.65A 30 RPM Spares 1 as is where is immediately
108394 CAMECA EX-300 Implant Dosing Measurement 300 mm 1 as is where is
84244 Camloc RS-182 GAS SPRING Spares 2 as is where is immediately
53020 CANON Fujitsu denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
53021 Canon Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
110623 Canon FPA-5510iX I line stepper 300 mm 1 as is where is
53023 Canon Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
109090 Canon BG3-3822 DMP Pre-amp PCB for Canon FPA 5000 ES2, 3 etc. Spares 1 as is where is 2 weeks
109091 Canon BG3-1045 REF-MEM (RT) PCB for Canon FPA 5000 ES2, 3 etc. Spares 1 as is where is immediately
106020 Canon BG3-3868-000 MBD Unit (Masking Blade Driver) for Canon FPA5000ES2/ES2+ Spares 01.06.1999 1 as is where is immediately
109092 Canon BG5-1262 MLE-IF2 PCB for Canon FPA 5000 ES2, 3 etc. Spares 1 as is where is immediately
109094 CANON BG3-3831 RT-DSP PCB for Canon FPA 5000 ES2, 3 etc. Spares 1 as is where is 2 weeks
53032 Canon Omron APR-S Reverse Phase Relay for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
109098 Canon BY8-6168-4E0 Canon FPA3000 EX3 Command Guide - operational manual Manual 01.06.1996 1 as is where is immediately
109099 Canon BY8-4615-0E0 Canon FPA3000 EX3 Maintenance Guide Manual 01.06.1996 1 as is where is immediately
99884 Canon FPA-5000 ES3 Spare Parts 300 mm 1 as is where is immediately
109100 Canon BY8-6168-1E0 Canon FPA3000 EX3 Operation Guide - operational manual Manual 01.06.1996 1 as is where is immediately
109101 Canon BY8-6168-2E0 Canon FPA3000 EX3 Parameter Guide 1 - operational manual Manual 01.06.1996 1 as is where is immediately
109102 Canon BY8-6168-3E0 Canon FPA3000 EX3 Parameter Guide 2 - operational manual Manual 01.06.1996 1 as is where is immediately
109103 Canon BY8-6168-5E0 Canon FPA3000 EX3 Reticle Guide2 - operational manual Manual 01.06.1996 1 as is where is immediately
53045 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 3 as is where is immediately
53046 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
53047 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
53049 Canon BH8-1768-02 Library Sub Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 31.05.1999 1 as is where is immediately
53050 Canon BH8-1818-01 LD/PD board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
103739 CANON BG4-6746 BH8-1822-01 BG8-2683 WF/RC IF PCB FOR CANON I-LINE STEPPERS Spares 31.10.1996 1 as is where is immediately
103741 CANON ROCKY KS-112 SCSI EXT PCB FOR CANON FPA SERIES I-LINE STEPPERS SPARES 31.10.1996 1 as is where is immediately
53056 Canon BG9-4757, BH8--1069-01 AF DRV board for Canon FPA 1550 series spares 1 as is where is immediately
53057 Canon BG9-4760, BG83111, BH8-1071-02 SH/RH board for Canon FPA 1550 spares 30.06.1999 1 as is where is immediately
53058 Canon BG9-4761, BG8-3112, BH8-1071-01 SH/RH board FOR CANON FPA SERIES STEPPERS spares 30.06.1999 1 as is where is immediately
53059 Canon BH8-1073-01, BG9-4763, BG8-3114 PA SENSER board spares 01.06.1999 1 as is where is immediately
53060 Canon BG9-4764, BH8-1074-01 PA CCD board for Canon FPA series steppers spares 30.06.1999 1 as is where is immediately
53061 Canon BG9-4762, BG8-3113, BH8-1072-01 PA STAGE board for Canon 1550MarkIV series spares 30.06.1995 1 as is where is immediately
53062 Canon BG9-4758, BG8-3109, BH8-1070-02 SC/RC board for Canon FPA series steppers spares 30.06.1999 1 as is where is immediately
53063 Canon BG9-4759, BG8-3110, BH8-1070-02 SC/RC board for Canon fpa spares 30.06.1999 1 as is where is immediately
53066 Canon BG4-6365 PPC Pellicle Particle Checker Unit for FPA3000 series stepper iw i4 i5 ex3 ex4 ex5 ex6 spares 30.04.1997 1 as is where is immediately
109163 Canon FPA-5500 iZa i-Line Wide-Field Stepper 300mm 1 as is where is
52338 Canon BH8-2017-01 IL3-CD-PCB for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52341 Canon BH8-1980-01 EASRCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52342 Canon BH8-1979-01 EASLCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
108919 Canon FPA-6000 ES5 248 nm (KrF) excimer exposure system 300 mm 01.05.2003 1 as is where is immediately
103800 Canon BG3-7842 STG CD4 PCB, for Canon FPA5000 ES3 scanner Spares 1 as is where is immediately
80249 CANON BG4-7001 BH8-1837-01 PCB 1 as is where is immediately
52346 Canon BH8-2022-01, BG8-3369, BG4-8680 EXP-CD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52347 Canon BH8-1938-01BG4-9386 BG8-2694 CD90-CD PCB assembly spares 1 as is where is immediately
103804 Canon BG4-6466-000 ZMI 1000 A Board (Y) Spares 1 immediately
52348 Canon BH8-2065-02, BG4-8805, BG8-3375 CD90-INTLK board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52360 Canon Zenith ZPS-250 Multiple voltage power supply 250Watts spares 30.06.1999 1 as is where is immediately
52365 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 2 as is where is immediately
52366 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52367 Canon Chino ES-600 Chart Recorder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
103826 Canon BG3-2428-000 8 inch and12 inch PIN wafer chuck ASS’Y ( FPA 5000 ES2, ES2+, ES3, ES4) Spares 01.05.2000 1 inquire immediately
108946 CANON FPA-5000 ES3 (Spare Parts) Spare Parts for Canon ES series DUV scanners Spares 12 as is where is immediately
52379 CANON FUJITSU DENSO 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52380 CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52381 CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52382 CANON FUJITU DENSO 4247-E924 1ch power supply module for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 spares 30.06.1999 1 as is where is immediately
52384 Canon Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
105904 Canon BG3-3822 DMP-PREAMP PCB Spares 01.05.2000 1 as is where is immediately
105905 Canon BG3-3823 DMP-DSP PCB Spares 01.05.2000 1 as is where is immediately
95428 Canon FPA-2500i2 i Line Stepper 150mm 1 as is where is immediately
52446 Canon Fujitsu Denso 4249-E922 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52447 CANON Fujitsu Denso 4249-E923 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 01.06.1999 1 as is where is immediately
52448 CANON FUJITSU DENSO 4248-E922 2 channels power supply module for canon fpa 3000 series spares 30.06.1999 1 as is where is immediately
52450 Canon Fuji Denso 4248-E921 2 channels power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
106229 Canon BG4-8595 FPA 3000 series, iA scope objective lens unit, RIGHT Spares 01.06.1998 1 as is where is immediately
106230 Canon BG4-8594 FPA 3000 SERIES iA scope objective lens unit, Left Spares 01.06.1998 1 as is where is immediately
106231 Canon BG4-85?? FPA 3000 series iA scope relay lens unit, Right Spares 01.06.1998 1 as is where is immediately
106232 Canon BG4-8599 FPA 3000 series iA scope shutter unit Spares 01.06.1998 1 as is where is immediately
106234 Canon BG4-8601 Electro-Optical part for FPA 3000 EX series iA scope Spares 01.06.1998 1 as is where is immediately
105979 Canon BG4-6736 Reticle handling robot for ES2 ES3 ES4 i5 etc Spares 01.05.2000 1 as is where is 1 month
106238 Canon BG4-8597 Electro-optical assembly from iA scope for FPA 3000 Ex4,5,6 Spares 01.06.1998 1 as is where is immediately
106646 CARL ZEISS S-INDUSTRIAL 193 NM LASER FACILITIES 1 as is where is
110700 Cascade Microtech 12000B-HS Prober 150 mm 1 as is where is immediately
83551 Celerity UFC-1660 MFC C2F6 5SLPM 01.08.2009 1 as is where is immediately
109967 Celtec CD1-12 Power Supply SPARES 1 inquire
109968 Celtec CD1-12 Power Supply SPARES 1 inquire
109969 Celtec CK180-6 Generator SPARES 1 inquire
109970 Celtec CK180-6 Generator SPARES 1 inquire
56140 CentroTherm DO 12.000-200-FF-HTO-CAN-NT4.0 Belt Reflow Furnace for solar cell production (Fast Firing Furnace) with Dryer Solar 01.05.2001 1 as is where is immediately
103801 Centrotherm DO-FF-8600-300 Belt Reflow Furnace for solar cell production (Fast Firing Furnace) Solar 01.05.2008 1 as is where is immediately
98721 Centrotherm E 2000 HT 300-4 Horizontal Diffusion Furnace for POCl3 doping Solar 01.05.2008 1 as is where is immediately
98722 Centrotherm E 2000 HT 320-4 Nitride Diffusion Furnace for Anti-refective coating PECVD Up to 300 mm 01.05.2008 1 as is where is immediately
108805 CHA SEC-1000 E-Beam Evaporator with CV-8 Power Supply 1 inquire
83874 CKD M4SB080-M5 AHM-850 SOLENOID VALVE 5 as is where is immediately
83870 CKD CYCLINDER CSD2-L-32-20 CYLYNDER SPARES 0 as is where is immediately
83871 CKD CYCLINDER CSD2-L-32-20 CYLYNDER SPARES 1 as is where is immediately
103731 CKPlas TS-MT510-J, TS-MT610-J Transfer Solar Wafer Cassette Solar 1 as is where is immediately
109372 COMDEL CB-5000 RF GENERATOR Spares 1 as is where is
109373 COMDEL CLX-1000 RF GENERATOR Spares 1 as is where is immediately
109374 COMDEL CLX-10K RF GENERATOR Spares 28 as is where is
109375 COMDEL CLX-10K RF GENERATOR Spares 1 as is where is
109376 COMDEL CLX-1250 RF GENERATOR Spares 3 as is where is immediately
109377 COMDEL CLX-2500 RF GENERATOR Spares 2 as is where is immediately
109378 COMDEL CLX-2500 RF GENERATOR Spares 1 as is where is immediately
109379 COMDEL CLX-2500 RF GENERATOR Spares 1 as is where is immediately
109380 COMDEL CLX-2500 RF GENERATOR Spares 3 as is where is immediately
109381 COMDEL CLX-2500 RF GENERATOR Spares 1 as is where is immediately
109382 COMDEL CLX-2500 RF GENERATOR Spares 1 as is where is immediately
109383 COMDEL CLX-2500 RF GENERATOR Spares 1 as is where is immediately
109384 COMDEL CX-10K RF GENERATOR Spares 7 as is where is
110002 Comdel CD-1500 Generator SPARES 1 inquire
110003 Comdel CDX-1000 Generator SPARES 1 inquire
110010 Comdel CPM-1000/REMOTE Miscellaneous SPARES 1 inquire
110011 Comdel CPM-5000/REMOTE Miscellaneous SPARES 1 inquire
110012 Comdel CPM-5000/REMOTE Miscellaneous SPARES 1 inquire
110017 Comdel CPMX-1000 Match SPARES 1 inquire
110018 Comdel CPMX-1000 Match SPARES 1 inquire
110019 Comdel CPMX-1000 Match SPARES 1 inquire
110020 Comdel CPMX-1000 Match SPARES 1 inquire
110035 Comdel ESC-2 Power Supply SPARES 1 inquire
110036 Comdel ESC-2 Power Supply SPARES 1 inquire
53025 COMPUMOTOR M57-51 Lead screw spares 1 as is where is immediately
84021 Condor B24-1.2 dc power supply 1 as is where is immediately
99395 Convac CBA-M-2000-U Photoresist coater 31.01.1995 1 as is where is immediately
13143 COSEL MMC100-2 POWER SUPPLY SPARES 2 as is where is immediately
108742 CR TECHNOLOGY UF160-0 Xray System 1 as is where is
84278 Credence 671-4394-01 Test head connector board Spares 01.01.1999 2 as is where is immediately
84279 Credence 671-4270-02 Capture Processor board 1A Spares 01.01.1999 1 as is where is immediately
84280 Credence 671-4394-04 Test head connector board Spares 01.01.1999 1 as is where is immediately
84281 Credence 672-6051-01 Support Module Interface 01.01.1999 1 as is where is immediately
83559 Credence 671-4276-50 PIN card FOR CREDENCE DUO SX TESTER Spares 01.01.1999 3 as is where is immediately
83819 Credence 671-4359-01 DPAC Parallel Pattern Memory PCB Spares 01.01.1999 1 as is where is immediately
84099 Credence 671-1376-02 Analog Power Monitor PCB 584-02 Spares 01.07.1999 1 as is where is immediately
80269 Credence 671-4283-01 Duo SX ROM Sequencer TPI/PD Spares 01.07.1999 1 as is where is immediately
80270 Credence 671-4331-01 DUO SX ROM Sequencer PCB SPARES 01.07.1999 1 as is where is immediately
80271 Credence 670-9426-05 DUO SX Controller I/O PCB Spares 01.07.1999 1 as is where is immediately
80272 Credence 671-0693-04 Duo SX DMA2 Controller PCB spares 01.05.1999 1 as is where is immediately
80273 Credence 671-4043-01 DUO SX FUNCTIONAL TEST CONTROLLER PCB Spares 01.04.1999 2 as is where is immediately
80274 Credence 671-4098-952707 DUO SX Master Clock Dist. 01.10.1999 1 as is where is immediately
81829 Credence 202-2000-00 Credence Duo SX controller 01.06.1999 1 as is where is immediately
106924 Credence 671-4359-00 REV 3 DPAC Parallel Pattern Memory SPARES 01.07.1999 18 as is where is immediately
106925 Credence 671-4177-04 Support Module Interface PCB spares 01.08.1998 2 as is where is immediately
106926 Credence 671-4351-00 rev A Intersegment Communications Connector Spares 01.01.1999 1 as is where is immediately
83631 Credence 071-1022-01 Duo & Logic 100 Test System Service Manual MANUAL 01.01.1997 1 as is where is immediately
106927 Credence 671-2119-00 Analog Support Air flow / Bus Grant Spares 8 as is where is immediately
106928 Credence 671-4270-02 REV A Capture Processor PCB 1A Spares 01.01.1999 2 as is where is immediately
106929 Credence 671-4270-03 rev A Capture Processor 1a pcb Spares 01.01.1999 2 as is where is immediately
106930 Credence 671-4155-05 Analog Support Module VHF PCB Spares 01.06.1999 1 as is where is
106931 Credence 671-4098-952707 DUO SX Master Clock Dist. PCB spares 01.10.1999 1 as is where is immediately
106932 Credence 671-4283-01 REV 4 Duo SX ROM Sequencer TPI/PD PCB SPARES 01.07.1999 1 as is where is immediately
106933 Credence 671-4331-01 DUO SX ROM Sequencer PCB Spares 01.07.1999 1 as is where is immediately
106934 Credence 670-9426-05 DUO SX Controller I/O PCB Spares 01.07.1999 1 as is where is immediately
80311 Credence 671-4127-00 Scan Memory 01.01.1999 15 as is where is immediately
106935 Credence 671-0693-04 Duo SX DMA2 Controller PCB Spares 01.05.1999 2 as is where is immediately
80312 Credence 671-4359-00 DPAC Parallel Pattern Memory Spares 01.01.1999 35 as is where is immediately
106936 Credence 671-4043-01 REV A DUO SX FUNCTIONAL TEST CONTROLLER PCB Spares 01.04.1999 2 as is where is immediately
80313 Credence 672-4359-00 DPAC Parallel Pattern Memory 01.01.1999 1 as is where is
106937 Credence 671-0951-04 DUO SX Analog I/O Board Spares 01.01.1999 3 as is where is immediately
80314 Credence 672-6051-03 Support Module Interface PCB for a Credence Duo tester Spares 01.01.1999 4 as is where is immediately
106938 Credence 671-4127-00 Scan Memory PCB FOR A CREDENCE DUO SX TESTER Spares 01.01.1999 4 as is where is immediately
106939 Credence 671-4394-05 REV A Test head connector PCB Spares 01.11.2005 1 as is where is immediately
80316 Credence 671-4270-03 Capture Processor 01.01.1999 2 as is where is immediately
106940 Credence 672-6051-01 Support Module Interface tpi / td pcb Spares 01.07.1999 1 as is where is immediately
80317 Credence 671-2119-00 Analog Support Air flow Bus Grant PCB , for Credence DUO tester Spares 7 as is where is immediately
80318 Credence 671-4155-05 Analog Support Module VHF PCB for Credence Duo Tester Spares 01.06.1997 1 as is where is immediately
80320 Credence 671-4351-00 Intersegment Communications Connector 01.01.1999 1 as is where is immediately
81864 Credence 671-4098-02 DUO SX Master Clock Dist. PCB Spares 01.02.1999 1 as is where is immediately
81866 Credence 671-4635-01 Testhead connector PCB board, from a Credence Duo SX test system Spares 01.02.2007 1 as is where is immediately
82168 Credence 671-1461-04 Intersegment communications board Spares 01.07.1999 1 as is where is immediately
54562 CTI cryogenics CRYOTORR-100 Cryopump PUMP 31.05.1997 1 as is where is immediately
106523 Custom S-Cubed Spin Coat Bake System Clamshell 1 as is where is immediately
4267 CVC 31-313216-8171 CONNEXION INSTRUCTION MANUAL Spares 01.03.1998 2 as is where is immediately
83892 CVC GTC-036 TERMOCOUPLE GAUGE TUBE 3 as is where is immediately
106018 Cymer 01-13500-07 Paddle for Cymer 4300 laser Spares 30.06.1996 1 as is where is immediately
110753 CYMER ELS-6400 248 NM DUV Excimer Laser Facilities 01.06.2002 1 as is where is immediately
108808 DAGE 2400-WP-100 100g Wire Pull Load Cell SPARES 1 inquire
108809 DAGE 2400PC-BS500G 500g Ball Shear Load Cell for Dage 2400 SPARES 1 inquire
108810 DAGE 2400PC-WP10KG 10 Kg Wire Pull Load Cell for Dage 2400 SPARES 1 inquire
69789 Dage 4000-HK-5-2 160-OT12-PTP-02 PULL TEST hook Spares 1 as is where is immediately
108745 DAGE BT23-PC Die Shear Tester with LC200 Die Shear Load Cell Assembly 01.05.1996 1 as is where is immediately
109390 DAIHEN AGA-50B2 RF GENERATOR Spares 3 as is where is
109391 DAIHEN AMN-30F-V RF GENERATOR Spares 1 as is where is
109392 DAIHEN AMN-50B2A RF GENERATOR Spares 3 as is where is
109393 DAIHEN AMN-50F RF GENERATOR Spares 8 as is where is
109394 DAIHEN ATM-30A RF GENERATOR Spares 1 as is where is
109395 DAIHEN ATP-15B RF GENERATOR Spares 2 as is where is
109396 DAIHEN DGP-120A2 RF GENERATOR Spares 2 as is where is
109397 DAIHEN FGA-30A2 RF GENERATOR Spares 16 as is where is
109398 DAIHEN FGA-30C RF GENERATOR Spares 1 as is where is
109399 DAIHEN FGA-30C RF GENERATOR Spares 20 as is where is
109400 DAIHEN FRM-30A RF GENERATOR Spares 9 as is where is
109401 DAIHEN FRM-30A RF GENERATOR Spares 6 as is where is
109402 DAIHEN FTM-80A1 RF GENERATOR Spares 18 as is where is
109403 DAIHEN MFG-20SA3 RF GENERATOR Spares 2 as is where is
109404 DAIHEN MFT-20SB RF GENERATOR Spares 1 as is where is
109405 DAIHEN NX-HGA-30B RF GENERATOR Spares 3 as is where is
109406 DAIHEN NX-HRM-30B RF GENERATOR Spares 1 as is where is
109407 DAIHEN NX-HRM-30B4 RF GENERATOR Spares 1 as is where is
109408 DAIHEN NX-PLB-01 RF GENERATOR Spares 3 as is where is
109409 DAIHEN NX-RGA-10G RF GENERATOR Spares 3 as is where is
109410 DAIHEN NX-WMN-50G RF GENERATOR Spares 2 as is where is
109411 DAIHEN RMN-50M RF GENERATOR Spares 2 as is where is
109412 DAIHEN RTGA-30A1 RF GENERATOR Spares 16 as is where is
109413 DAIHEN WGA-50E RF GENERATOR Spares 1 as is where is
109414 DAIHEN WGA-50E RF GENERATOR Spares 7 as is where is
109415 DAIHEN WGA-50E1 RF GENERATOR Spares 1 as is where is
109416 DAIHEN WMN-50C6A RF GENERATOR Spares 2 as is where is
110042 Daihen NGA-30C Generator SPARES 1 inquire
110043 DAIHEN NMN-20A1 Match SPARES 1 inquire
110044 Daihen WGA-20A Generator SPARES 1 inquire
110045 DAIHEN WMN-25 Miscellaneous SPARES 1 inquire
91136 Daitron CVP-320 Wafer Edge Grinder 300 mm 01.11.2008 1 as is where is immediately
108747 DELTRONIC DH14-RR Profile Projector with 20X Objective Lens 1 as is where is
83627 DENSAN DSB-S17 OUTPUT BOARD SDB-S17 2 as is where is immediately
108811 DENTON DV-502A Electron-Beam Evaporator with Telemark TT3 P/S, 4 Pocket E-Gun 1 inquire
77186 Despatch KK33UH-009-00-4,MC Thermocouple sensor probe spares 1 as is where is immediately
81837 Deublin 55-000-003 Deublin, Rotary Union 5/8" NEW Spares 1 as is where is immediately
76954 Dimetric JGT-10F Relay 380V 80A spares 4 as is where is immediately
108155 DISCO DFG-82IF/8 Rotary Surface Grinder 200 mm 01.06.1992 1 as is where is
91658 DNS SS-3000-A Scrubber (4F) 300 mm 1 as is where is
91660 DNS SS-3000-A Scrubber (4F) 300 mm 1 as is where is
91661 DNS SS-3000-A Scrubber (4F) 300 mm 31.05.2007 1 as is where is
91662 DNS SS-3000-AR Scrubber (2F/2B) 300 mm 30.06.2004 1 as is where is
107022 DNS SK-2000 C&D Track 1 as is where is immediately
91664 DNS SS-3000-AR Scrubber (4B) 300 mm 31.05.2005 1 as is where is
91667 DNS SS-3000-AR Scrubber (4B) 300 mm 31.05.2007 1 as is where is
91674 DNS SU-3000 Cleaner (MP Type) (2L/P) 300 mm 1 as is where is
91675 DNS SU-3000 Cleaner (MP Type) (3L/P) (1R) 300 mm 1 as is where is
91677 DNS SU-3000 Cleaner (MP Type) (2L/P) 300 mm 1 as is where is
91678 DNS SU-3000 Cleaner (MP Type) (2L/P) 300 mm 1 as is where is
91679 DNS SU-3000 Cleaner (MP Type) (2L/P) 300 mm 1 as is where is
91680 DNS SU-3000 Cleaner (SR Type) (3L/P) 300 mm 1 as is where is
110624 DNS FC-821L Wet 200 mm 1 as is where is
91681 DNS SU-3000 Cleaner (MP Type) (3L/P) 300 mm 1 as is where is
110625 DNS FC-821L Wet 200 mm 1 as is where is
110626 DNS SPW-813A Wet 200 mm 1 as is where is
91683 DNS SU-3000 Cleaner (MP Type) (3L/P) 300 mm 1 as is where is
91684 DNS SU-3000 Cleaner (MP Type) (3L/P) 300 mm 31.05.2008 1 as is where is
110628 DNS SCW-80A Coater Lithography Coater and Developer 200 mm 1 as is where is
110629 DNS SDW-80A Developer Lithography Coater and Developer 200 mm 1 as is where is
110630 DNS SK-3000-BVPEU Lithography Coater and Developer 300 mm 1 as is where is
103520 DNS SS-3000-AR Wafer Scrubber 300 mm 31.05.2003 1 as is where is
103521 DNS SS-3000-AR Wafer Scrubber 300 mm 31.05.2001 1 as is where is
103522 DNS SS-3000-AR Wafer Scrubber (4Back) 300 mm 1 as is where is
103523 DNS SU-3000 Cleaner (SR Type)(SST) (3LoadPort) 300 mm 31.05.2003 1 as is where is
103524 DNS SU-3000 Cleaner (MP Type) (2_AM1/2_DHF) (2LoadPort) 300 mm 1 as is where is
109164 DNS SS-3000 Wafer Scrubber 300mm 1 as is where is
110956 DNS AMD322-8US-6-0-4 CKD Spares 5 inquire
109165 DNS SS-3000-AR Wafer Scrubber 300mm 1 as is where is
110957 DNS AMD312-8US-6-24R CKD Spares 5 inquire
109166 DNS SS-3000-AR Wafer Scrubber 300mm 1 as is where is
110958 DNS AMD312-8US-6-0-4 CKD Spares 5 inquire
109167 DNS SS-3000-AR Wafer Scrubber 300mm 1 as is where is
110959 DNS AMD312-X0221 CKD Spares 5 inquire
109168 DNS SS-3000-AR Wafer Scrubber 300mm 1 as is where is
110960 DNS AMD312-8US-6-3-4 CKD Spares 5 inquire
108401 DNS MP-3000 Single Wafer Processing 300 mm 1 as is where is
110961 DNS SGM-A3L3J26 AC servo motor Spares 5 inquire
110962 DNS SGM-A5L314CP AC servo motor Spares 5 inquire
110963 DNS SGM-A3LWJ46 AC servo motor Spares 5 inquire
110964 DNS SGM-A3L3J46P AC servo motor Spares 5 inquire
110965 DNS SGD-A3BH SERVOPACK Spares 5 inquire
110966 DNS SGD-02BH SERVOPACK Spares 5 inquire
110967 DNS MX-7500 DBC/TBC BRUSH LOAD CELL AMP (TECHNICAL&TRY Spares 5 inquire
110968 DNS GTC-2000E N2 HEAT PACK Spares 5 inquire
110969 DNS 739-57668 N2 FLOW METER(TOKYO KEISO) Spares 5 inquire
110970 DNS 739-63356 FLOW METER(TOKYO KEISO) Spares 5 inquire
110971 DNS CDRQ2BS30-180C-A93 SMC (SHUTER CYLINDER) Spares 5 inquire
108156 DNS SS-W80A-AR Wafer & Mask Scrubber 200 mm 01.06.2000 1 as is where is
108157 DNS SU-3000 Cleaner (SR Type)(SST) (3LoadPort) 300 mm 01.06.2004 1 as is where is
110973 DNS 7-39-33737 FSB BOARD Spares 5 inquire
110975 DNS NK005-2 D-SONIC FLOW METER Spares 5 inquire
110976 DNS H5CN-XANS OMRON TIMER Spares 5 inquire
110977 DNS H7CL-ADS OMRON COUNTER Spares 5 inquire
110980 DNS CZ-40P-AP08-D FLOW SENSOR Spares 5 inquire
110981 DNS DP2-20Z fLOW METER Spares 5 inquire
110982 DNS FX-13 AMPLIFIER Spares 5 inquire
110983 DNS E3X-DA21 OMRON AMPLIFIER Spares 5 inquire
110988 DNS SS-A1 CONVERTOR Spares 5 inquire
105871 DNS 2-39-06099 NISSHIN VACUUM gauge 0-76 cmHg spares 1 as is where is immediately
105872 DNS 2-39-06106 NISSHIN pressure gauge 0-0.5 kgf cm2 spares 1 as is where is immediately
110994 DNS AMD31-15-12-3 CKD VALVE Spares 5 inquire
110995 DNS AMD41-20-20-5FX-4 CKD VALVE Spares 5 inquire
93076 DNS SK-200W-AVPF Coater / Developer system (2C/2D) 200 mm 31.05.1997 1 as is where is
93077 DNS SK-200W-BVPE i-Line Photo Track Coater (3C3D) 200 mm 31.05.1997 1 as is where is
106648 DNS SS-3000-AR WET SCRUBBER – Including HDD 300 mm 01.06.2007 1 as is where is
106649 DNS SS-3000-AR WET SCRUBBER – No HDD 300 mm 01.06.2006 1 as is where is
106650 DNS SS-3000-AR WET SCRUBBER – No HDD 300 mm 01.06.2007 1 as is where is
106651 DNS SS-3000-AR WET SCRUBBER – No HDD 300 mm 01.06.2007 1 as is where is
106652 DNS SS-3000-AR Wafer Scrubber (4Back) (Including HDD) 300 mm 01.06.2007 1 as is where is
106653 DNS SS-3000-AR Wafer Scrubber (4Back) (Including HDD) 300 mm 01.06.2007 1 as is where is
91581 DNS SK-80BW AVPE Photoresist Coater and Developer system (2C/2D) 200 mm 31.05.1995 1 as is where is 3 months
18879 DNS 803-9605 D-SPIN 80A Parts list 1 as is where is
18887 DNS 806-9311 D-SPIN 60A Instruction manual 1 as is where is
18888 DNS 603-9311 D-SPIN 60A Part list 1 as is where is
18889 DNS 806-9371 D-SPIN 60 Instruction manual 1 as is where is
98268 DNS SS-3100 WET Scrubber 300 mm 31.05.2012 1 inquire immediately
94447 DNS DNS SS-3000-A Bevel Scrubber (4F) 300 mm 31.05.2006 1 as is where is
94448 DNS DNS SS-3000-A Bevel Scrubber (4F) 300 mm 31.05.2011 1 as is where is
94449 DNS DNS SS-3000-A Wafer Scrubber (4Front) 300 mm 1 as is where is
94450 DNS DNS SS-3000-AR Bevel Scrubber (4B) 300 mm 31.05.2008 1 as is where is
94451 DNS DNS SS-3000-AR Wafer Scrubber (4Back) 300 mm 1 as is where is
94452 DNS DNS SS-3000-AR Wafer Scrubber (4Back) 300 mm 1 as is where is
94454 DNS DNS SU-3000 Cleaner (MP Type)(DHF) (2LoadPort) 300 mm 1 as is where is
94456 DNS DNS SU-3000 Cleaner (SR Type)(SST) (3LoadPort) 300 mm 31.05.2004 1 as is where is
109567 DNS SS-3000-AR Batch Wafer Cleaner 300 mm 01.05.2007 1 as is where is immediately
105864 DWYER RMA-10-SSV AIR FLOWMETER,1/8 NPT CONN.,UP TO 100PSI Spares 1 as is where is immediately
70304 EBARA 30024-02 RING, ONE PIECE EBARA FREX 200 SPARES 1 as is where is immediately
70305 EBARA C-1120-008-0001 BALL SCREW, APROX 170 CM LENGTH, FOR EBARA FREX 200 SPARES 1 as is where is immediately
106466 ECI QL-10-EX PLATING BATH ANALYSER Facilities 1 as is where is immediately
106919 Edwards STP-A1303C TURBOMOLECULAR PUMP PUMP 01.06.2002 1 as is where is immediately
18865 EDWARDS A529-80-905 QMKII dry pumping system 2 as is where is
18921 EDWARDS 03-A344-02-881 Rotary vacuum pump E1M40, E1M80,E2M40,E2M80 1 as is where is
18922 EDWARDS 03-A301-51-883 Eh series mechanical booster pump and combination units 1 as is where is
18923 EDWARDS 03-A344-02-882 Rotary vacuum pump E1M40,E2M40,E2M80 and E2M40S, E2M80S superpumps 1 as is where is
15658 ELCO MMC50-1 POWER SUPPLY 1 as is where is immediately
83636 ELCO CO. LTD K50A-15 POWER SUPPLY 15V3.4A 3 as is where is immediately
110080 ENI ACG-10B Generator SPARES 1 inquire
110081 ENI ACG-10B Generator SPARES 1 inquire
110082 ENI ACG-10B Generator SPARES 1 inquire
110083 ENI ACG-10B Generator SPARES 1 inquire
110084 ENI ACG-10B Generator SPARES 1 inquire
110085 ENI ACG-10B Generator SPARES 1 inquire
110086 ENI ACG-10XL Generator SPARES 1 inquire
110087 ENI ACG-3 Generator SPARES 1 inquire
110088 ENI ACG-3 Generator SPARES 1 inquire
110089 ENI ACG-3 Generator SPARES 1 inquire
110090 ENI ACG-3 Generator SPARES 1 inquire
110091 ENI ACG-3 Generator SPARES 1 inquire
110092 ENI ACG-3 Generator SPARES 1 inquire
110093 ENI ACG-3 Generator SPARES 1 inquire
110094 ENI ACG-3B Generator SPARES 1 inquire
110095 ENI ACG-3B Generator SPARES 1 inquire
110096 ENI ACG-3B Generator SPARES 1 inquire
110097 ENI ACG-3B Generator SPARES 1 inquire
110098 ENI ACG-3DC Generator SPARES 1 inquire
110099 ENI ACG-3DC Generator SPARES 1 inquire
110100 ENI ACG-5 Generator SPARES 1 inquire
110101 ENI ACG-6 Generator SPARES 1 inquire
108566 ENI DCG-200Z-OPTIMA RF Generator SPARES 01.12.2005 6 as is where is 2 weeks
110102 ENI ACG-6 Generator SPARES 1 inquire
108567 ENI RFC-6-03 RF Generator SPARES 01.07.2006 1 as is where is immediately
107546 ENI MWH-100 RF Match Spares 1 as is where is immediately
110106 ENI DOFBC2-078 Match SPARES 1 inquire
110108 ENI GHW-25 Generator SPARES 1 inquire
110109 ENI GHW-25 Generator SPARES 1 inquire
110111 ENI HPG-2 Generator SPARES 1 inquire
110112 ENI HPG-2 Generator SPARES 1 inquire
110113 ENI LPG-12A Generator SPARES 1 inquire
110114 ENI LPG-12A Generator SPARES 1 inquire
110115 ENI LPG-12A Generator SPARES 1 inquire
110116 ENI LPG-12A Generator SPARES 1 inquire
110117 ENI LPG-12A Generator SPARES 1 inquire
110118 ENI LPG-12A Generator SPARES 1 inquire
110119 ENI LPG-12A Generator SPARES 1 inquire
110120 ENI LPG-24 Generator SPARES 1 inquire
110121 ENI LPG-24 Generator SPARES 1 inquire
110122 ENI LPG-6 Generator SPARES 1 inquire
110123 ENI LPG-6A Generator SPARES 1 inquire
110124 ENI LPG-6A Generator SPARES 1 inquire
110135 ENI MW-10 Match SPARES 1 inquire
110136 ENI MW-10 Match SPARES 1 inquire
110137 ENI MW-10 Match SPARES 1 inquire
110138 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110139 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
107580 ENI OEM-12A XL RF Generator Spares 1 as is where is immediately
110140 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110141 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110142 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110143 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110144 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110145 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110146 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110147 ENI MW-10D Match SPARES 1 inquire
110148 ENI MW-10D Match SPARES 1 inquire
110149 ENI MW-10D Controller Miscellaneous SPARES 1 inquire
110150 ENI MW-10D Controller Miscellaneous SPARES 1 inquire
110151 ENI MW-10T Match SPARES 1 inquire
110152 ENI MW-5 Match SPARES 1 inquire
110153 ENI MW-5 Match SPARES 1 inquire
110154 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110155 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110156 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110157 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110158 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110159 ENI MW-5 Controller Miscellaneous SPARES 1 inquire
110160 ENI MW-50W Match SPARES 1 inquire
110161 ENI MW-5D Match SPARES 1 inquire
110162 ENI MW-5D Match SPARES 1 inquire
110163 ENI MW-5D Match SPARES 1 inquire
110164 ENI MW-5D Match SPARES 1 inquire
110165 ENI MW-5D Match SPARES 1 inquire
110166 ENI MW-5D Match SPARES 1 inquire
110167 ENI MW-5D Match SPARES 1 inquire
110168 ENI MW-5D Match SPARES 1 inquire
110169 ENI MW-5D Match SPARES 1 inquire
110170 ENI MW-5D Match SPARES 1 inquire
110171 ENI MW-5D Match SPARES 1 inquire
110172 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110173 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110174 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110175 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110176 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110177 ENI MW-5D Controller Miscellaneous SPARES 1 inquire
110178 ENI MWD-25 Match SPARES 1 inquire
110179 ENI MWD-25LD Match SPARES 1 inquire
110180 ENI MWD-25LD Match SPARES 1 inquire
110181 ENI MWH-100 Match SPARES 1 inquire
110182 ENI MWH-100 Match SPARES 1 inquire
110183 ENI MWH-100 Match SPARES 1 inquire
110184 ENI MWH-100 Match SPARES 1 inquire
109417 ENI DCG-200Z RF GENERATOR Spares 5 as is where is
110185 ENI MWH-100 Match SPARES 1 inquire
109418 ENI DCG-200Z RF GENERATOR Spares 1 as is where is
110186 ENI MWH-100 Match SPARES 1 inquire
109419 ENI DCG-200Z RF GENERATOR Spares 6 as is where is
110187 ENI MWH-25 Match SPARES 1 inquire
109420 ENI DCG-200Z RF GENERATOR Spares 3 as is where is
110188 ENI MWH-25 Match SPARES 1 inquire
109421 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 1 as is where is
110189 ENI MWH-25 Match SPARES 1 inquire
109422 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 8 as is where is
110190 ENI MWH-5 Match SPARES 1 inquire
109423 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 1 as is where is
110191 ENI MWH-5 Controller Miscellaneous SPARES 1 inquire
109424 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 2 as is where is
110192 ENI MWH-5 Controller Miscellaneous SPARES 1 inquire
109425 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 4 as is where is
110193 ENI MWM-25-02 Match SPARES 1 inquire
109426 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 3 as is where is
110194 ENI MWM-25-02 Match SPARES 1 inquire
109427 ENI DCG-200Z-OPTIMA RF GENERATOR Spares 4 as is where is
110195 ENI MWM-25-02 Match SPARES 1 inquire
109428 ENI DCG-200Z-S00 RF GENERATOR Spares 1 as is where is
110196 ENI OEM-10B Generator SPARES 1 inquire
109429 ENI DOFBC2-078 RF GENERATOR Spares 1 as is where is
110197 ENI OEM-12 Generator SPARES 1 inquire
109430 ENI MWH-100 RF GENERATOR Spares 1 as is where is
110198 ENI OEM-12 Generator SPARES 1 inquire
109431 ENI MWH-100 RF GENERATOR Spares 1 as is where is
110199 ENI OEM-12 Generator SPARES 1 inquire
109432 ENI OEM-12B RF GENERATOR Spares 3 as is where is immediately
110200 ENI OEM-12 Generator SPARES 1 inquire
109433 ENI SPECTRUM 11002-00 RF GENERATOR Spares 1 as is where is
110201 ENI OEM-1250 Generator SPARES 1 inquire
110202 ENI OEM-1250 Generator SPARES 1 inquire
110203 ENI OEM-1250 Generator SPARES 1 inquire
110204 ENI OEM-1250 Generator SPARES 1 inquire
110205 ENI OEM-1250 Generator SPARES 1 inquire
110206 ENI OEM-1250 Generator SPARES 1 inquire
107647 ENI RFC-5MW Miscellaneous Spares 1 as is where is immediately
110207 ENI OEM-12A Generator SPARES 1 inquire
110208 ENI OEM-12A Generator SPARES 1 inquire
110209 ENI OEM-12A Generator SPARES 1 inquire
110210 ENI OEM-12A Generator SPARES 1 inquire
110211 ENI OEM-12A Generator SPARES 1 inquire
110212 ENI OEM-12A Generator SPARES 1 inquire
110213 ENI OEM-12A Generator SPARES 1 inquire
110214 ENI OEM-12A Generator SPARES 1 inquire
110215 ENI OEM-12A Generator SPARES 1 inquire
110216 ENI OEM-12A Generator SPARES 1 inquire
110217 ENI OEM-12A Generator SPARES 1 inquire
110218 ENI OEM-12A XL Generator SPARES 1 inquire
110219 ENI OEM-12A XL Generator SPARES 1 inquire
110220 ENI OEM-2000 Generator SPARES 1 inquire
110221 ENI OEM-6 Generator SPARES 1 inquire
110222 ENI OEM-6 Generator SPARES 1 inquire
110223 ENI OEM-6 Generator SPARES 1 inquire
110224 ENI OEM-6 Generator SPARES 1 inquire
110225 ENI OEM-6 Generator SPARES 1 inquire
110226 ENI OEM-6 Generator SPARES 1 inquire
110227 ENI OEM-6 Generator SPARES 1 inquire
110228 ENI OEM-6 Generator SPARES 1 inquire
110229 ENI OEM-6 Generator SPARES 1 inquire
110230 ENI OEM-6 Generator SPARES 1 inquire
110231 ENI OEM-6 Generator SPARES 1 inquire
110232 ENI OEM-6 Generator SPARES 1 inquire
110233 ENI OEM-6 Generator SPARES 1 inquire
110234 ENI OEM-650A Generator SPARES 1 inquire
110235 ENI OEM-650A Generator SPARES 1 inquire
110236 ENI OEM-650A Generator SPARES 1 inquire
110237 ENI OEM-650A Generator SPARES 1 inquire
110238 ENI OEM-650A Generator SPARES 1 inquire
110239 ENI OEM-650A Generator SPARES 1 inquire
110240 ENI OEM-650A Generator SPARES 1 inquire
110241 ENI OEM-650A Generator SPARES 1 inquire
110242 ENI OEM-650A Generator SPARES 1 inquire
110243 ENI OEM-650A Generator SPARES 1 inquire
110244 ENI OEM-650A Generator SPARES 1 inquire
110245 ENI OEM-650A Generator SPARES 1 inquire
110246 ENI OEM-650A Generator SPARES 1 inquire
110247 ENI OEM-650A Generator SPARES 1 inquire
110248 ENI OEM-650A Generator SPARES 1 inquire
83625 ENI HF-3000-50 HARMONIC FILTER ASSY 28.02.1994 1 as is where is immediately
110249 ENI OEM-650A Generator SPARES 1 inquire
110250 ENI OEM-650A XL Generator SPARES 1 inquire
110251 ENI OEM-650A XL Generator SPARES 1 inquire
110252 ENI OEM-650A XL Generator SPARES 1 inquire
110253 ENI OEM-650A XL Generator SPARES 1 inquire
110254 ENI OEM-650A XL Generator SPARES 1 inquire
110255 ENI OEM-650A XL Generator SPARES 1 inquire
110256 ENI OEM-6B Generator SPARES 1 inquire
110257 ENI OEM-6B Generator SPARES 1 inquire
110258 ENI OEM-6L Generator SPARES 1 inquire
110259 ENI OEM-6M Generator SPARES 1 inquire
110260 ENI PL2-HF Generator SPARES 1 inquire
110261 ENI PL2-HF Generator SPARES 1 inquire
110262 ENI PL2-HF Generator SPARES 1 inquire
110263 ENI PL2-HF Generator SPARES 1 inquire
110264 ENI PL2-HF Generator SPARES 1 inquire
110265 ENI PL2-HF Generator SPARES 1 inquire
106175 ENI OEM-2000-01M1 RF GENERATOR SPARES 1 as is where is immediately
110281 ENI RFC-5 Controller Miscellaneous SPARES 1 inquire
107466 ENI ACG-3B RF Generator Spares 1 as is where is immediately
110282 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110283 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110284 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110285 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110286 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110287 ENI RFC-5MW Miscellaneous SPARES 1 inquire
110288 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110289 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110290 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110291 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110292 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110293 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110294 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110295 ENI RFC-6 Controller Miscellaneous SPARES 1 inquire
110297 ENI UTF-10 Miscellaneous SPARES 1 inquire
110298 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110299 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110300 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110301 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110302 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110303 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110304 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110305 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110306 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
80368 ENI OEM-6J RF GENERATOR SPARES 31.05.1995 1 as is where is immediately
110075 ENI ACG-10 Generator SPARES 1 inquire
110076 ENI ACG-10 Generator SPARES 1 inquire
110077 ENI ACG-10 Generator SPARES 1 inquire
110078 ENI ACG-10B Generator SPARES 1 inquire
110079 ENI ACG-10B Generator SPARES 1 inquire
83513 Entegris RSPX-EUV-036 Reticle Direct Purge Cabinet Facilities 01.07.2010 1 as is where is immediately
108958 entegris A72-40MB-0215 Teflon Carrier 4" 97
106467 EO Technic CSM-2000 CHIP SCALE LASER MARKER 150 mm/200 mm 01.06.2002 1 as is where is immediately
108815 ESEC CT-2000 Automatic Flip Chip Die Attacher, 3ea Available ASSEMBLY 1 inquire
110778 ESEC 2008-XP Die Bonder Assembly 01.06.2004 1
108749 ESEC BU-050-N Parts Unloader for Micron 2 Automatic Die Attacher 1 inquire
108750 ESEC BL-050-N Parts Loader for Micron 2 Automatic Die Attacher 1 inquire
106899 ESI ELECTRO SCIENTIFIC INDUSTRIES 146900-01 REV A Lower Pneumatic Control Box for ESI 9830 spares 01.09.2007 1 as is where is 2 weeks
108065 ESPEC STPH-101 OVEN OVEN 1 as is where is
80169 Festo 150857 SME-8-S-LED-24 Proximity switch 01.12.2012 1 as is where is immediately
110723 Four Dimensions CVMAP 3092-A Wafer CV mapper 100-200 MM 01.06.2005 1 as is where is immediately
95574 FSI Polaris 3500 (Spares) 294157-200 REV C PCB, MODULE DRIP AND GUARD INPUTS Spares 1 as is where is immediately
95575 FSI Polaris 3500 (Spares) IKEGAMI PM-930A PICURE MONITOR Spares 1 as is where is immediately
95579 FSI Polaris 3500 (Spares) Patlite SL-V Signal Tower, 2 color, Amber, Red Spares 2 as is where is immediately
18849 FSI 906498-100 FSI CHEM FILL Dec scotland system manual and print 1 as is where is
18850 FSI 905403-001 Print and Product Structures (Poly) Mercury MP 1 as is where is
18851 FSI 907575-100 Mercury MP spray processing system operation and maintenance manual manual 01.05.1994 1 as is where is immediately
18852 FSI 501666-000 Operations and maintenance Excalibur ISR 2 as is where is
18853 FSI 500539-001 Saturn MP comprehensive technical package 2 as is where is
18854 FSI 906496-100 Bit bus node installation system requirement and guidelines 1 as is where is
18857 FSI 501442-058 Booster pump operations and maintenance 1 as is where is
18858 FSI 504099-001 Motorola mos 16 PLC expansion project 2 as is where is
18860 FSI 903943-200 Heated recirculations operations and maintenance manual 1 as is where is
18861 FSI 906488-100 Model 1000 CDM operations and maintenance manual 1 as is where is
18862 FSI 916197-100 Model 1000 CDM ( ce-marked with PPS ) operations and maintenance manual 1 as is where is
18863 FSI 903893-200 Helios 52 di water meter operation and maintenance manual 2 as is where is
27829 FSI 904602-200 Manual 1
27830 FSI 906496-100 Manual 1
27874 FSI 907051-100 Excalibur ISR Operation and Maintenance Manual 1
27877 FSI 500539-004 Parts & Structures Manual 1
27881 FSI Chemfill systems - Manual Model 1000-4 PLC CDM Supplementary Training Package Manual 1 as is where is immediately
83616 FSP GROUP INC 100-240 W POWER SUPPLY for a p.c. 10A 50-60 Hz 01.01.2008 10 as is where is immediately
84260 FUJI SEIKI & SILENT FA-1612HCB-C FA.FWM. 1
103814 Fujikin FBSDV-6.35-2B3-BGC VALVE BLOCK DIAPH 1/4VCR-F/F/F NC/NC VIM VAR 5RA Spares 01.05.2005 1 as is where is immediately
83544 Fujitsu Denso FDS26-29 750W 26V29A Power supply FOR ADVANTEST T5335P TESTER Spares 01.02.1996 2 as is where is immediately
106475 Furukawa UVW-102M FURUKAWA UV-102 SEMI AUTO UV CURE SYSTEM N/A 1 as is where is
83903 GACHOT DN 15-10 STAINLSS STEEL BALL VALVE Spares 2 as is where is immediately
96543 Gaertner L115C-8 Ellipsometer, cassette to cassette 100-200 mm 28.02.1995 1 as is where is immediately
98464 Genmark GB8-MT-80050102 Single arm Atmospheric wafer handling robot 200mm 1 as is where is immediately
110782 Giant Force GPO-270-B Burn-In Oven Reliability 01.09.2022 1 as is where is immediately
110783 Giant Force GTH-150-40-CP-SD Temperature and Humidity Chamber Reliability 01.02.2023 1 as is where is immediately
106855 Gigaphoton A700GK-1 248 nm (KrF) excimer laser Facilities 01.10.2007 1 as is where is immediately
111342 Gigaphoton G21K2-1 KrF excimer laser 248 nm Facilities 01.11.2003 1 as is where is immediately
83800 GSC P140-74 Gleichstrom Servo Motor 1 as is where is immediately
110702 Heidelberg DWL-200 Laser Direct-Write Lithography System Up to 200 mm 01.06.2007 1 as is where is immediately
110776 Highmax SHM-150 Wafer mounter 1 as is where is immediately
110777 Highmax UV-200 Curing Oven 8" 1 as is where is immediately
106479 Highmax UV-200 Curing System 150 mm/200 mm 1 as is where is
109568 Hitachi CG-4100 Critical Dimension (CD) Measurement SEM 300 mm 01.06.2012 1 as is where is immediately
109569 Hitachi CG-4000 Critical Dimension (CD) Measurement SEM 300 mm 01.06.2010 1 as is where is immediately
109578 HITACHI CM-700H WIRE BONDER ASSEMBLY 01.05.2007 20 inquire immediately
91403 HITACHI S-5000 FE SEM 150 mm,200 mm 1 as is where is
91404 HITACHI S-5000 FE SEM 150 mm,200 mm 1 as is where is
91405 HITACHI S-5000 FE SEM 150 mm,200 mm 1 as is where is
91407 HITACHI S-5000 FE SEM 150 mm,200 mm 1 as is where is
91408 HITACHI S-5000 FE SEM 150 mm,200 mm 1 as is where is
91417 HITACHI LS-6800 wafer surface inspection 300 mm 31.08.2007 1 as is where is immediately
91418 HITACHI UA-7200 Stripper/Asher 31.05.2001 1 as is where is
108072 Hitachi S-6280H CD SEM 1 as is where is
52301 Hitachi 545-5516 7 Channel Power Supply module spares 0 as is where is immediately
52312 Hitachi 545-5522 VG board for CD SEM spares 31.05.1994 1 as is where is immediately
103525 HITACHI S4700-l Scanning Electron Microscope Laboratory 31.05.2004 1 as is where is immediately
103526 HITACHI S4700-ll FE Sem with Horriba EMAX EDX Laboratory 31.05.2001 1 as is where is immediately
103527 HITACHI S4700-ll FE Sem with Horriba EMAX EDX (Destocking Status) Laboratory 31.05.2003 1 as is where is immediately
52339 Hitachi 545-5521 EVAC PCB FOR HITACHI CD-SEM spares 31.05.1994 1 as is where is immediately
52340 Hitachi 545-5537 IP-PC2 for cd-sem spares 31.05.1994 1 as is where is immediately
52343 Hitachi 377-7592 Power Supply Module for CD SEM spares 31.05.1994 1 as is where is immediately
36518 HITACHI S-5000 1 inquire
106666 HITACHI LS-6800 Wafer Surface Inspection 300 mm 01.06.2007 1 as is where is
110765 Hitachi S-8840 CD SEM 1 as is all rebuilt
110766 Hitachi S-9260A SEM 1 as is where is immediately
110781 Hitachi S-7840 High Resolution Imaging and CD-SEM 150 mm to 200 mm 01.09.2000 1 inquire immediately
52166 Hitachi 545-5515 DC power supply module for CD SEM spares 1 as is where is immediately
52168 Hitachi 545-5540 Power Supply unit for CD SEM Spares 1 as is where is immediately
18925 HITACHI 131-9008-1 Model U-3210 / U 3410recording spectrophotometer available commands 1 as is where is
18926 HITACHI 131-9007-1 Model U3210 / U3410 Recording spectrophotometer operating procedure 1 as is where is
108027 HITACHI N-6000 NANO PROBER Laboratory 01.09.2007 1 as is where is
77264 HOLADAY HI-1801 MICROWAVE SURVEY METER Laboratory 2 as is where is immediately
74236 ICP DAS DB-8025 2-port Data Acquisition Board 01.01.2008 2 as is where is
77004 ICP DAS Omega DB-16R Daughter Board Spares 01.01.2006 2 as is where is immediately
83583 INFICON 850-200-G1 LEYBOLD IG 3 VACUUM GAUGE CONTROLLER RS232 1 as is where is immediately
77151 Ingersoll Rand ANAQK-ABXAB-160 Provenair HT Pneumatic Cylinder Spares 01.01.2008 1 as is where is immediately
108163 IWATANI LNS-1 Liquid Nitrogen Supplier 1 as is where is
91419 J.A Woollam VUV-VASE (Gen II) Ellipsometer 300 mm 1 as is where is
91420 J.A Woollam VUV-VASE VU302 (Gen I) Ellipsometer 200 mm 31.05.2001 1 as is where is
108822 JEOL JSM-6600F Scanning Electron Microscope 1 inquire
91422 JEOL JSM-5600 FE SEM 31.05.1999 1 as is where is
91424 JEOL JSM-6700F FE SEM 1 as is where is
108323 JEOL JFS-9855S Focused Ion Beam System 200mm 01.05.2000 1 as is where is immediately
110627 JEOL JSM-6460LV Scanning Electron Microscope Laboratory 1 as is where is
108324 JEOL JWS-7555 SEM - Defect Review (DR) 200mm 1 as is where is immediately
109185 JEOL JEM-2010F TEM Laboratory 1 as is where is
109186 JEOL JFS-9815 Focused Ion Beam System 200mm 1 as is where is
109187 JEOL JSM-6400F FE SEM Laboratory 1 as is where is
108164 JEOL JSM-6340F FE Sem 1 as is where is
109188 JEOL JWS-7855S Mask Inspection & Repair System RETICLE 1 as is where is
106668 JEOL JWS-7500E SCANNING ELECTRON MICROSCOPE 200 mm 1 as is where is
36564 JEOL CD-SEM, JSM-6340F JEOL 1 inquire
109558 JEOL JSM-7500F SEM Laboratory 01.05.2009 1 as is where is immediately
111356 JEOL jbx-3040mv E-beam lithography system 200 mm 01.06.2006 1 as is where is immediately
18866 K AND S 98060-0000-001-01 Manual for Model 8060 automatic wedge bonder 1 as is where is
84231 Kalrez O-RING AS-568A o-ring seal Spares 2 as is where is immediately
110611 KARL SUSS MJB-3 Mask Aligner 1 as is where is immediately
108833 KARL SUSS MA-4 Mask Aligner, IR Backside Alignment with Single Microscope, for up to 4" Wafers 1 inquire
108834 KARL SUSS MA-45 Mask Aligner, Front Side Alignment with Splitfield Microscope, for up to 4" Wafers 1 inquire
108835 KARL SUSS PM-8 Analytical Wafer Prober 1 inquire
106915 Karl Suss Micro Tec PA-200 Wafer Prober Station 01.06.2001 1 as is where is immediately
106798 Kawasaki 3NS411B-F006 Robot with cable and Controller Spares 01.06.2008 1 as is where is immediately
110673 Kawasaki 3NS511C-F003 Robot 1 as is where is immediately
98468 Kawasaki 3NX540B-A302 atmospheric wafer robot( AMAT producer) 300mm 1 as is where is
98469 Kawasaki NS410B-A002 atmospheric wafer robot( AMAT producer) 300mm 1 as is where is
103208 Keller VARIO-T 1.0-SC8-B30-HD Scrubber / Compact Dust Separator for Baccini laser unit exhaust air Facilities 31.10.2011 1 as is where is immediately
84388 KEYENCE FU-12 PHOTO SENSOR 4 as is where is immediately
108836 KINETIC SYSTEMS Vibraplane 1202-22-12S Vibration Isolation Table, 47" x 36" SOLAR 1 inquire
108759 KINETIC SYSTEMS Vibraplane 1201-01-11 Vibration Isolation Table 30"x35"x29"(h) 1 as is where is
84000 KLA 750-653120-00C0 Power Line Conditioner / Transformer for KLA 2122 SPARES 31.01.1996 1 inquire immediately
53026 KLA 715-023506-00 Complete lead screw and stepping motor for KLA 2xx reticle inspection system spares 1 as is where is immediately
87086 KLA 655-6616141-00 Wafer stage, kla 21xx 200 mm 1 as is where is immediately
34115 KLA 740-401-320 P-N 073-401-320 AIRLOCK 1
84301 KLA 710-658036-20 Alignment Processor board REV C3 Spares 1 as is where is immediately
84302 KLA 710-658041-20 Alignment PRocessor Phase 3 Board REV E0 Spares 1 as is where is immediately
84303 KLA 710-658046-20 PRocessor Board REV E0 Spares 1 as is where is immediately
84304 KLA 710-658177-20 Interpolator phase 3 Board REV F1 Spares 2 as is where is immediately
84305 KLA 710-658172-20 Y Interpolator C,PH3 Board REV J1 Spares 2 as is where is immediately
84306 KLA 710-655651-20 Cornerturn 3 PC board REV C0 Spares 1 as is where is immediately
106066 KLA 710-029946-00 Rev:XE Corrector-Formatter PCB M2A only spares 01.06.1990 1 as is where is immediately
84307 KLA 710-659412-00 Mass Memory PCB REV C0 Spares 1 as is where is immediately
84308 KLA 710-658232-20 Memory Controller Phase 3 PC board REV H1 Spares 1 as is where is immediately
84309 KLA 710-658086-20 PC Board, REV E0 Spares 1 as is where is immediately
110686 KLA eDR-5200 Defect Review Sem 300 mm 01.06.2009 2 as is where is immediately
106080 KLA 710-023236-00 Rev B1 P3 Scan delay FIR Filter PCB Spares 1 as is where is immediately
106081 KLA 710-022410-01 Rev A5 AR GRAPHICS DISPLAY CONTROLLER 2 PCB Spares 1 as is where is immediately
106082 KLA 710-029421-00 Rev C2 SERVO DRIVE 2 III PCB Spares 1 as is where is immediately
106083 KLA 710-023589-00 Rev B2 SERVO DRIVE 1 III PCB Spares 1 as is where is immediately
106084 KLA 710-101836-02 Rev G3 AUTOFOCUS 2 PCB Spares 1 as is where is immediately
84076 KLA 050-654234-00 Lamp Micro Line Filament w/ clips 30.09.1996 1 as is where is immediately
106106 KLA 710-022400-01 D5 Graphic Display Controller 1 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106107 KLA 710-023602-00 B Display System Trap, RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106108 KLA 710-023256-00 C2 P3 Data Input PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106109 KLA 710-023141-00 Assy, Formatter p3 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106110 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 01.06.1991 1 as is where is immediately
106111 KLA 710-028014-01 E ADBA PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106112 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106113 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106114 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106115 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106116 KLA 710-039524-00 A AP 1 210 series PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106117 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
108421 KLA eDR-5210 SEM - Defect Review (DR) 300 mm 4 as is where is
106118 KLA 710-028014-00 B3 Image Memory Address PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106119 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106120 KLA 710-037887-01 B BMMC M2A with RIA signal mod. PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106121 KLA 710-029767-00 REV D UPLL RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106122 KLA 710-036420-00 XB Defect Concatenator 4 PCB -IAS compatible-newest version PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
108426 KLA SM-300/SpectraMap Film Thickness Measurement System 1 as is where is
106123 KLA 710-036380-00 C IAS parameter 2 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106124 KLA 710-023279-00 H2 04/16 level dump PCB for KLA 2xx reticle inspection system Spares 01.09.1991 1 as is where is immediately
106125 KLA 710-040042-00 XB e-series detector, threshold 2x2 259 M2A PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106126 KLA 710-023455-00 XC e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106127 KLA 710-029694-00 XF e-series detector,data 259 ROQ PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106128 KLA 710-023455-00 XC e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106129 KLA 710-039924-00 A1 SERVO DRIVE 3 IV PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106130 KLA 710-028287-01 G1 servo 4 II PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106131 KLA 710-023599-01 B1 Computer IF (RF) PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106132 KLA 710-037718-00 C SBC 3.5 inch floppy PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106133 KLA 710-023273-00 C 512 K ram, 210 series PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106134 KLA 710-037717-00 A FDD controller, 3.5 inch PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106135 KLA 710-029924-00 REV F Preprocessor 1 (RF) PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106136 KLA 710-029927-00 REV E Preprocessor 2 (RF) PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106137 KLA 710-037889-00 Rev XA Preprocessor I/O PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106138 KLA 710-036106-00 REV D Defect Highlighting Control 3 PCB for KLA 2xx reticle inspection system Spares 01.09.1992 1 as is where is immediately
106140 KLA 710-037699-00 XA1 gain/offset testpoint board PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106141 KLA 710-102570-02 Rev 1 Autofocus Pre-Amplifier PCB PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
27807 KLA 720-05887-000 MCP Detector Control Chassis spares 3 as is where is immediately
106143 KLA 740-064388-000 RETICLE TRANSFER SYSTEM FOR 5 AND 6 INCH PHOTOMASKS 5 INCH/6 INCH 01.06.1999 1 as is where is immediately
106146 KLA 740-210171-00 ORH Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system spares 01.05.1992 1 as is where is immediately
83635 KLA 712-023914-00 rev B CCD TV camera for KLA 2XX reticle inspection system SPARES 1 as is where is immediately
108989 KLA 710-661729-00 CD0 S.A.T. RANDOM DEFECT PROCESSOR PCB FOR KLA 21XX Spares 01.08.1996 1 as is where is immediately
4288 KLA 710-101836-02 REV D AUTOFOCUS II POWER AMP Spares 1 as is where is
4289 KLA 710-102570-02 AUTOFOCUS PRE-AMPLIFIER Spares 2 as is where is
110786 KLA SP1-TBI Wafer Particle Detection System (Surfscan) 200 mm 1 inquire immediately
110787 KLA SP1-TBI Wafer Particle Detection System (Surfscan) 200 mm / 300 mm 1 inquire immediately
110788 KLA SP1-TBI Wafer Particle Detection System (Surfscan) 200 mm 1 inquire immediately
1736 KLA 710-101836-02 AUTOFOCUS 2 HV PCB for KLA 2xx reticle inspection system SPARES 01.01.1985 1 as is where is immediately
106189 KLA 740-401-320 AIRLOCK ASSEMBLY PCB Spares 01.09.1989 1 as is where is immediately
106191 KLA 900-01003-120 Assy. Software System 8xxx V3.1.2 Spares 01.06.2000 1 as is where is immediately
84216 KLA 740-100360-00 ORH Mask Holder for 250 Mil x 6 inch masks for KLA 2xx reticle inspection system Spares 01.05.1992 1 as is where is immediately
84218 KLA 740-100059-00 GWH Glass Wafer Holder, 250-150 mm P1, for KLA 2xx reticle inspection system Spares 01.05.1992 1 as is where is immediately
86672 KLA Tencor 710-661729-00 PC Board, KLA 21XX Spares 1 as is where is immediately
106574 KLA TENCOR SEM-3800C Scanning Electron Microscope N/A 1 as is where is
83555 KLA TENCOR 720-05721000 CONTROL CHASSIS FOR KLA 8100 Column Control Chassis 2 as is where is immediately
83572 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS SPARES 01.02.1997 1 as is where is immediately
83574 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS 150 WATTS 01.02.1997 1 as is where is immediately
83581 KLA TENCOR 720-05888-000 TFE GUN CONTROLLER CHASSIS 230 WATTS 01.02.1997 1 as is where is immediately
83614 KLA TENCOR HA-200 RADIATION POWER SYSTEMS INC. Mercury Lamp psu FOR KLA 2XX reticle inspection systems SPARES 1 as is where is immediately
27808 KLA TENCOR 720-07335-000 ADVANTECH COMPUTER ICP-6751 FOR KLA 81XX CD SEM Spares 1 as is where is immediately
106681 KLA TENCOR P-2 Profileometer 150 mm,200 mm 01.06.1996 1 as is where is
27801 KLA- TENCOR 720-05721-000 Column Control Chasis for KLA 8100 cd sem SPARES 3 as is where is immediately
34149 KLA-TENCOR 242163 Rev B PCB ADC-PFE Interface S76 PCB FOR KLA 7700M spares 1
109107 KLA-Tencor PROMETRIX FT-650 WAFER FILM THICKNESS MEASUREMENT SYSTEM 01.06.1991 1 as is where is immediately
76358 KLA-Tencor 710-039524-01, rev C Alignment Processor 2 , 210e series PCB Spares 1 as is where is immediately
4958 KLA-Tencor Roll-a-Lift KIT 2135,2138,2230 MOVE FACILITIES 1 as is where is immediately
4959 KLA-Tencor 655-650504-00 8 INCH CHUCK ASSY FOR KLA 2132 200 mm 1 as is where is immediately
84092 KLA-TENCOR 655-6500504-00 CERAMIC CHUCK 200 MM(8*),2132 200 mm 31.05.1998 1 as is where is immediately
84093 KLA-TENCOR 665-037138-00 MICROSCOPE MASK FOR KLA 2XX RETICLE INSPECTION SYSTEM Spares 1 as is where is immediately
109195 KLA-Tencor ASET-F5x Film Thickness Measurement System 300mm 1 as is where is
74643 KLA-Tencor 710-013838-00 Rev L PCB Universal Video Mux for KLA 2xx reticle inspection systems spares 01.06.1993 1 as is where is immediately
21667 KLA-Tencor JDS-Uniphase 2214-30 SLQ TT LASER FOR KLA 7700 SURFSCAN SPARES 31.01.1998 1 inquire immediately
18599 KLA-Tencor 710-401249-01 Rev F DRIVER BOARD for KLA 5xxx SPARES 31.05.1992 1 as is where is immediately
18600 KLA-Tencor 710-401249-01 Rev F Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18602 KLA-Tencor 750-40426.. 5xxx Spare Part BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
18603 KLA-Tencor 710-401536-00 FOR kla 5XXX ASSY NO 401536 00 ENCODER INTERFACE Spares 31.05.1992 1 as is where is immediately
18604 KLA-Tencor 710-401249-00 REV E for kla 5xxx Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18605 KLA-Tencor 710-404146-00 REV A for KLA 5XXX ASSY BOARD FOR KLA 5XXX Spares 31.05.1992 1 as is where is immediately
18606 KLA-Tencor 750-400159-00 REV A for KLA 5xxx MATROX VIP 1024 for a KLA 5XXX overlay system Spares 31.05.1992 1 as is where is immediately
18607 KLA-Tencor 730-400083-00 REV G for a KLA 5xxx PZT CONTROLLER for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18608 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx ASSY BOARD for a KLA 5xxx overlay system Rev. B 31.05.1992 1 as is where is immediately
18609 KLA-Tencor 710-400412-00 Rev K PCB for a KLA 5xxx system sp 31.05.1992 1 as is where is immediately
18610 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18611 KLA-Tencor 750-400339-00 Rev H from a KLA 5xxx PCB for a KLA 5xxx system Spares 01.05.1992 1 as is where is immediately
18612 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system SPARES 31.05.1992 1 as is where is immediately
18871 KLA-TENCOR 546860-27 Operation manual 1 as is where is
18872 KLA-TENCOR 563234-27 Reference manual 1 as is where is
18873 KLA-TENCOR 990-039410-00 210 e-series theory 1 as is where is
84409 KLA-Tencor AIT-1 SHIPPING KIT AIT-1 SHIPPING KIT SPARES 1 as is where is immediately
18874 KLA-TENCOR 905-664046-000 25x2 analysis workstation 1 as is where is
18875 KLA-TENCOR 563226-27 Software version 5.0 manual 1 as is where is
18876 KLA-TENCOR 546879-27 Software version 4.1 manual 1 as is where is
83901 KLA-Tencor 655-03737-00 Rev XA Mechanical part for a KLA 7700 M (Surfscan) SPARES 1 as is where is immediately
18622 KLA-Tencor 750-404260 for KLA 5XXX BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
18632 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18635 KLA-Tencor 851391-101 LH RESEARCH REV.B 01.06.1992 1 as is where is
83929 KLA-TENCOR 720-02847-000 MCA Module for KLA 81xx CD SEM spares 31.05.1998 1 as is where is immediately
83930 KLA-TENCOR 720-02964-000B PICOAMP II for KLA 81xx CD SEM SPARES 2 as is where is immediately
84217 KLA-TENCOR 740-210171-00 Mask Holder for 5 inch x 0.090 thickness masks, with compensation glass, KLA 2XX spares 01.05.1992 1 as is where is immediately
84219 KLA-TENCOR 740-210171-00 Rev C OHR Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system spares 01.05.1992 1 as is where is immediately
35971 KLA-Tencor Corp. 720-05888-000 Electron gun controller for KLA 8100 spares 01.06.1998 2 as is where is immediately
83846 KOGANEI JDAS32X5-165W AIR CYLINDER Spares 2 as is where is immediately
83880 KOGANEI AME07-E2-PSL VACUUM EJECTOR 8 as is where is immediately
83891 KOGANEI A200-4E1 AIR VALVE 2 as is where is immediately
108570 Kokusai DJ-1206VN Vertical Furnace 300 mm 01.05.2007 1 as is where is immediately
90149 Kokusai DD-1223 V-DF Vertical Furnace, Wet Oxidation 300 mm 01.06.2013 1 as is where is immediately
109108 Kokusai DJ-1236VN-DF Vertical Low-Pressure CVD System 300 mm 01.01.2016 1 as is where is immediately
110667 Kokusai DD-1206VN-DF Vertical Furnace, PYRO Process 300 mm 01.06.2005 1 inquire immediately
103536 KOKUSAI ZESTON-lll DD-1223V Dielectric CVD 300 mm 01.05.2011 1 as is where is
110706 Kokusai DD-1206VN-DF Vertical Furnace, PYRO Process 300 mm 01.09.2005 1 inquire immediately
109026 Kokusai DJ-853V-8BL J3 VERTICAL CVD FURNACE, NITRIDE PROCESS 150 mm 01.06.2000 1 as is all rebuilt immediately
108006 Kokusai DD-823V VERTICAL CVD FURNACE, H2 ANNEAL PROCESS 200 mm 2 as is where is immediately
108007 Kokusai DJ-853V-8BL J2 VERTICAL CVD FURNACE, HTO PROCESS 200 mm 01.09.2000 1 as is where is immediately
108920 Komatsu G20K4-1 248 nm (KrF) excimer laser LASER 01.05.2001 2 as is where is immediately
106690 KORNIC RTP-600M RTP, Wafer Diffusion 100 mm, 150 mm 1 as is where is
106895 KTC BT-30 Die and ball shear tester 1 as is where is
84230 Kurt J Lesker ISO Flange ISO63, 5-hole, *NEW* 5 hole pump flange Spares 2 as is where is immediately
84282 Kurt J Lesker QF-SSC-ALM Single claw clamp Spares 8 as is where is immediately
84212 Kurt J Lesker QF160-SAVR Pump centering ring Spares 2 as is where is immediately
109436 KYOSAN 15Z-S1 RF GENERATOR Spares 1 as is where is
109437 KYOSAN 15ZI-M RF GENERATOR Spares 2 as is where is
109438 KYOSAN HPK06ZI-TE7-SINGLE RF GENERATOR Spares 17 as is where is
109440 KYOSAN JFK85TH-TC6 RF GENERATOR Spares 20 as is where is
109441 LAM 832-038915-103 RF GENERATOR Spares 1 as is where is
109442 LAM 832-038915-203 RF GENERATOR Spares 1 as is where is
109443 LAM 853-040482-502 RF GENERATOR Spares 1 as is where is
109444 LAM 853-040482-600 RF GENERATOR Spares 1 as is where is
109445 LAM 853-085372-114 RF GENERATOR Spares 1 as is where is
109446 LAM 853-085375-015 RF GENERATOR Spares 1 as is where is
106240 Lam Research 853-011168-002 Rev C Surge Protector, Gap Drive Motor Assy Spares 01.07.1996 1 as is where is immediately
108574 LAM RESEARCH STRATA-3 CVD 300mm 01.06.2021 1 as is where is immediately
105861 Lam Research 853-495477-001 Rev B Cable Spares 30.11.2000 4 as is where is immediately
105862 Lam Research 853-017410-003 REV A Assy. cable.LWR match,Pk det. Spares 30.11.2000 5 as is where is immediately
110998 Lam Research 857-073710-002 KIT,SHIM,CLAMP - FLEX45/DS/DX Spares 5 inquire
109207 LAM Research 2300 Exelan Flex FX - Chamber Only Dielectric Etch 300mm 1 as is where is
110999 Lam Research 857-027108-001 KIT,CHOKE RING - FLEX45/DS/DX Spares 5 inquire
111000 Lam Research 857-027108-100 KIT,CHOKE RING,TCP TO TP FLD SERIES - FLEX ES/EX+ Spares 5 inquire
84377 Lam Research 810-06526-000 ASSY.CBL.EF CONTROL.SNIPER Spares 31.05.2001 1 as is where is immediately
111001 Lam Research 857-120208-010 KIT GSKT THRM TP TO CHOKE - FLEX FX Spares 5 inquire
111002 Lam Research 857-120209-009 KIT GSKT THRM CHOKE TO HTR - FLEX FX Spares 5 inquire
111003 Lam Research 857-120209-305 KIT GSKT THRM CHOKE - FLEX HX Spares 5 inquire immediately
111004 Lam Research 857-120210-008 KIT GSKT THRM HTR TO BP - FLEX FX Spares 5 inquire immediately
111005 Lam Research 857-120210-302 KIT GSKT THRM CHOKE - FLEX HX Spares 5 inquire immediately
111006 Lam Research 713-066269-002 FIT RING GAST GUARD ABE - ABE Spares 5 inquire immediately
111007 Lam Research 713-069935-003 GSKT GUARD, OUTER ELECTD(TCP) - FLEX ES/EX+ Spares 5 inquire immediately
111008 Lam Research 713-072792-003 GSKT, GUARD, OUTER ELECTD - FLEX45/DS/DX Spares 5 inquire immediately
111009 Lam Research 713-073439-010 RING, HER, FLEX45 - FLEX45 Spares 5 inquire immediately
111010 Lam Research 410-01754-026-RW KIT, THERMAL SHIM, CIP1 - CIP1 Spares 5 inquire immediately
111011 Lam Research 714-072727-048 GASKET(Q310-575924), FLEX/DS - FLEX45/DD/DS/DX Spares 5 inquire immediately
111012 Lam Research 857-065064-004 KIT, GASKET, ABE - ABE Spares 5 inquire immediately
111013 Lam Research 857-072806-005 KIT, GASKET, ELCTD - FLEX45/DS/DX Spares 5 inquire immediately
111014 Lam Research 857-073299-001 KIT, GASKET, TOP ELCTD - FLEX45/DS/DX Spares 5 inquire 6 months
111015 Lam Research 857-073299-002 TCP Q-PAD KIT - FLEX ES/EX+ Spares 5 inquire immediately
111016 Lam Research 857-085550-026 ELECTRODE GASKET KIT FOR FLEX EX+ Spares 5 inquire immediately
111017 Lam Research 857-085550-046 KIT GSKT ELECTD - FLEX GX/GXE Spares 5 inquire immediately
111018 Lam Research 857-085550-822 KIT GSKT ELECTD - FLEX FX Spares 5 inquire immediately
111019 Lam Research 719-078253-060 RING GASKET, GEL, CER 509 - FLEX FX Spares 5 inquire immediately
111020 Lam Research 719-078253-103 PKG, GASKET, GEL, CER, WIDE - FLEX FXP Spares 5 inquire immediately
111021 Lam Research 713-072728-130 RING, HTSK, ESC/CPLNG, 300MM, SP - FLEX EX Spares 5 inquire immediately
111022 Lam Research 714-072728-117 HEAT SINK R - FLEX EX+ Spares 5 inquire immediately
111023 Lam Research 839-086924-050/839-086924-021 INNER STUD SOCKETS - Flex DX/FX Spares 5 inquire immediately
111024 Lam Research 839-086924-051/839-086924-025 OUTER STUD SOCKETS - Flex DX/FX Spares 5 inquire
111025 Lam Research 839-086924-052 C-Shroud ring STUD SOCKETS - Flex DX/FX Spares 5 inquire immediately
111026 Lam Research 716-069688-005 ELCTD,INR,SI,300MM - 2300 Flex Spares 5 inquire
111027 Lam Research 716-082039-001 ELCTD,OUTER, .18 STEP, 300MM - 2300 Flex Spares 5 inquire
111028 Lam Research 716-023013-037 RING,HE, 38X ESC,RND, SGL XTL, 300MM - 2300 Flex Spares 5 inquire
111029 Lam Research 716-017112-533 RING,WAP,17.07X1.4THK - 2300 Flex Spares 5 inquire
111030 Lam Research 716-014843-303 RING,WAP,QTZ,GENERIC,17.07ID,300MM - 2300 Flex Spares 5 inquire
111031 Lam Research 716-013402-008 COV,H/E RING,QTZ - 2300 Flex Spares 5 inquire
111032 Lam Research 716-018468-092 RING,COVER,OUTER,GND - 2300 Flex Spares 5 inquire
111033 Lam Research 716-014843-360 RING,WAP,QTZ,GENERIC,17.07ID,300 - 2300 Flex Spares 5 inquire
111034 Lam Research 716-013402-063 COV,H/E RING,QTZ - 2300 Flex Spares 5 inquire
111035 Lam Research 716-801667-003 WIN, QTZ - 2300 Flex Spares 5 inquire
111036 Lam Research 716-801568-002 WIN, QTZ - 2300 Flex Spares 5 inquire
111037 Lam Research 716-012640-011 WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Star-T Spares 5 inquire
111038 Lam Research 716-012639-024 NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Star-T Spares 5 inquire
111039 Lam Research 716-026652-902 RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T Spares 5 inquire
111040 Lam Research 716-026652-922 RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T Spares 5 inquire
111041 Lam Research 716-031257-531 RING,EDGE,TOP,QTZ,EBP,300MM - 2300 Star-T Spares 5 inquire
111042 Lam Research 716-031257-561 RING,EDGE,TOP,QTZ,EBP,300MM - 2300 Star-T Spares 5 inquire
111043 Lam Research 716-008608-001 WDO,SAPPHIRE,25MM X.087 - 2300 Star-T Spares 5 inquire
111044 Lam Research 716-801568-002 WIN, QTZ - 2300 Star-T Spares 5 inquire
111045 Lam Research 716-012640-012 WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Kiyo Spares 5 inquire
111046 Lam Research 716-012639-024 NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Kiyo Spares 5 inquire immediately
111047 Lam Research 716-026652-002 RING,EDGE,BOT,200-300MM - 2300 Kiyo Spares 5 inquire
111048 Lam Research 716-026652-011 RING,EDGE,BOT,200-300MM - 2300 Kiyo Spares 5 inquire
111049 Lam Research 716-031257-534 RING,EDGE, TOP, EBP,2300 - 2300 Kiyo Spares 5 inquire
111050 Lam Research 716-031257-564 RING,EDGE, TOP, EBP,300MM - 2300 Kiyo Spares 5 inquire
111051 Lam Research 716-008608-001 WDO,SAPPHIRE,25MM X.087 - 2300 Kiyo Spares 5 inquire
111052 Lam Research 716-801568-002 WIN, QTZ - 2300 Kiyo Spares 5 inquire
111053 Lam Research 716-801568-002 WIN, QTZ - 2300 Versys Metal Spares 5 inquire
18898 LAM RESEARCH 406-240121-008 TCP 9600SE Drawings and schematics Vol 3 1 as is where is
18899 LAM RESEARCH 406-240121-005 TCP 9600SE Maintenance procedure 1 as is where is
18900 LAM RESEARCH 406-240122-007 TCP 9600SE Drawing and schematics Vol 2 1 as is where is
18901 LAM RESEARCH 406-240122-006 TCP 9600SE Drawing and schematics Vol 1 1 as is where is
18902 LAM RESEARCH 406-240121-006 TCP 9600SE Drawing and schematics Vol 1 1 as is where is
18903 LAM RESEARCH 406-240121-007 TCP 9600SE Drawing and schematics Vol 2 1 as is where is
18904 LAM RESEARCH 406-240121-008 TCP 9600SE Manual set addendum 1 as is where is
18905 LAM RESEARCH 409-240164-001 TCP rainbow e oxide 9500 1 as is where is
18906 LAM RESEARCH 406-240040-002 TCP Rainbow 4500 system descriptions 1 as is where is
18907 LAM RESEARCH 406-240203-074 TPC 9400/9600 PTX alliance installation guide 1 as is where is
18908 LAM RESEARCH 406-240101-001 TCP 9400 SE installations and start up 1 as is where is
18909 LAM RESEARCH 407-240100-001 TCP 9400 illustrated part identifier 1 as is where is
18910 LAM RESEARCH 406-240121-003 TCP 9600 SE system operation 1 as is where is
18911 LAM RESEARCH 406-240121-002 TCP 9600 SE system description 1 as is where is
18912 LAM RESEARCH 406-240101-005 TCP 9400 SE maintenance classic 1 as is where is
18913 LAM RESEARCH 406-240203-074 TCP 9400/9600 PTX alliance installation guide 1 as is where is immediately
18914 LAM RESEARCH 409-240163-001 Rainbow TCP Envision LAM RESEARCH SECS and SECS/GEM interface 1 as is where is
18915 LAM RESEARCH 406-240100-005 TCP 9400 maintenance procedure 1 as is where is
18916 LAM RESEARCH 406-240101-008 Drawings and schematics Vol3 1 as is where is
18917 LAM RESEARCH 406-240101-006 Drawings and schematics Vol3 2 as is where is
18918 LAM RESEARCH 406-240101-005 Drawings and schematics Vol1 1 as is where is
18919 LAM RESEARCH 406-240101-007 Drawings and schematics Vol2 2 as is where is
18920 LAM RESEARCH 406-240122-009 Drawings and schematics Vol4 1 as is where is
18927 LAM RESEARCH 406-240122-008 Drawing and schematics Vol3 1 as is where is
18928 LAM RESEARCH 406-240122-010 Drawing and schematics Vol5 1 as is where is
82879 LAMBDA CKS-36-21A 36V DC Regulated power supply, Advantest T5335P Spares 1 as is where is immediately
106484 Laurier DS-7000T/R Die Pick and Sort 150 mm/200 mm 01.11.1999 1 as is where is immediately
108180 LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope 1 as is where is
108181 LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope 1 as is where is
108182 LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope 1 as is where is
108183 LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope 1 as is where is
109017 LEITZ ERGOLUX AMC -LIS Inspection microscopes 1
106241 LEYBOLD ISO-K 100 Large ISO-K Vacuum Bellows and 90 Degree Elbow Spares 1 as is where is immediately
108838 LEYBOLD-HERAEUS NT-450 Turbo Pump Controller PUMP 1 inquire
108839 LEYBOLD-HERAEUS TMP-450C Turbo Pump, 2ea Available PUMP 1 inquire
106486 Lintec RAD-2000F / 8 LINTEC UV CURE TOOL 150 mm/200 mm 01.07.2018 1 as is where is immediately
106487 Lintec RAD-2500 Lintec Wafter Mounter RAD2500 150 mm/200 mm 1 as is where is immediately
106488 Lintec RAD-2500M/8 Wafer mounter 150 mm/200 mm 01.06.2001 1 as is where is immediately
77092 MAC 225B-111BAAA Pneumatic solenoid valve 1 as is where is
108844 MACTRONIX UKA-625 Eureka III Jr. Manual Wafer Transfer 1 inquire
108845 MACTRONIX UKA-825 Wafer Transfer Tool - Eureka Jr. for 200 mm Wafers 200 MM 1 inquire
108764 MACTRONIX UKA-650 Wafer Transfer Tool - Eureka III Sr. for 150mm Wafers 150 mm 1 as is where is
110741 March AP-1000 Plasma Cleaning System Assembly 01.06.2000 2 as is where is immediately
18835 MATRIX 0995-99844 System one etcher model 403 installations and operations manual 2 as is where is
18836 MATRIX 0995-99842 system one etcher model 303 installations and operations manual 1 as is where is
18837 MATRIX 0995-99844 Installation and operations manual 1 as is where is
18838 MATRIX 0995-99829 Oem user's manual 1 as is where is
83637 MATSUSHITA HP2-DC 24V RELAY HP 20 as is where is immediately
18845 MATTSON 17500675-00 Aspen OEM manual volume I 2 as is where is
18846 MATTSON 17500675-00 Aspen OEM manual volume II 3 as is where is
18847 MATTSON 17500675-00 Aspen OEM manual volume III 3 as is where is
18848 MATTSON 299-31000-00 Aspen strip system manual 6 as is where is
106822 MECS(Asyst) UTW-FS5500S atmospheric wafer handling robot NA 1 inquire
108765 MEI VQ-20-ASA-H-SM Variable Throttle Valve 1 as is where is
80244 MELLES GRIOT 05-LHP-121 HE NE Laser (Unused) Spares 01.12.1996 1 as is where is immediately
108846 MICROAUTOMATION M-1100 Wafer Dicing Saw, for up to 6" Wafers 150 MM 1 inquire
108847 MICRONICS JAPAN CO. MP-10 Manual Probe Station with B&L StereoZoom 7 Microscope & 2ea Micropositioners 1 inquire
84059 MICROSPEED PD-250C PC-TRAC mouse FUUTB02 1 as is where is immediately
106496 Milara Milara MTW-1 Milara MiniTouch MTW-1 Wafer Screen Printer 150 mm/200 mm 1 as is where is
106495 Milara Milara MTW-1 Milara MiniTouch MTW-1 Wafer Screen Printer 150 mm/200 mm 1 as is where is
80265 MILLIPORE FLUOROGARD-PLUS CWFA01PLV filter CARTRIDGE spares 1 as is where is immediately
82220 Milton Roy AA761-65S Flow rate pump 1 as is where is immediately
108848 MINATO MM-6600 Wafer Mobility Tester with MECS UX-1000 Wafer Robot, 2ea Available 1 inquire
83523 MITSUBISHI MR-J10A1 AC SERVO POWER 100 W 1
83554 MITSUBISHI FR-Z120-0.4K PLC, INVERTER 200 V CLASS FREQROL-Z120 1 as is where is immediately
83638 MITSUBISHI Melservo MR-C10A1-UE AC SERVO AMPLIFIER 100-120 V 31.03.2005 1 as is where is immediately
108289 Mitutoyo Quick Vision QVT1-X606P1L-D CNC coordinate measuring system ASSEMBLY 01.06.2016 1 as is where is
110342 MKS MW-5060 Match SPARES 1 inquire
110343 MKS MW-5060 Match SPARES 1 inquire
110344 MKS MW-5060 Match SPARES 1 inquire
110345 MKS MW-5060 Match SPARES 1 inquire
110346 MKS MWJ-1013 Match SPARES 1 inquire
87366 MKS 653B-13064 Baratron 1mbar 1 as is where is immediately
109450 MKS AX3060-1 Remote Plasma Cleaner Spares 1 as is where is
109455 MKS AX7657-2 Remote Plasma Cleaner Spares 5 as is where is
109458 MKS B-3013 RF GENERATOR Spares 1 as is where is
109459 MKS B-5002 RF GENERATOR Spares 1 as is where is
109460 MKS B-5002 RF GENERATOR Spares 1 as is where is
109462 MKS DCG-200Z RF GENERATOR Spares 1 as is where is
109463 MKS DCG-200Z RF GENERATOR Spares 1 as is where is
109464 MKS DCG-200Z RF GENERATOR Spares 2 as is where is
109465 MKS DCG-200Z RF GENERATOR Spares 6 as is where is
109466 MKS DCG-200Z RF GENERATOR Spares 1 as is where is
109467 MKS DCG-200Z RF GENERATOR Spares 1 as is where is
109468 MKS DCG-200Z RF GENERATOR Spares 2 as is where is
109469 MKS DCS80-13E RF GENERATOR Spares 4 as is where is
109470 MKS FI20160-1 RF GENERATOR Spares 1 as is where is
109473 MKS FI20162-1 RF GENERATOR Spares 6 as is where is
109477 MKS GEW-3540 RF GENERATOR Spares 2 as is where is
109478 MKS GHW-12Z RF GENERATOR Spares 2 as is where is
109479 MKS GHW-50Z RF GENERATOR Spares 1 as is where is
109480 MKS GHW-85A RF GENERATOR Spares 1 as is where is
109481 MKS GHW-85A RF GENERATOR Spares 1 as is where is
109482 MKS GL-139 RF GENERATOR Spares 1 as is where is
109483 MKS MWH-100-01M6 RF GENERATOR Spares 1 as is where is
109484 MKS MWH-100-01M6 RF GENERATOR Spares 1 as is where is
109485 MKS OEM-12 RF GENERATOR Spares 2 as is where is
109486 MKS OEM-1250 RF GENERATOR Spares 9 as is where is
109487 MKS OEM-25-11481 RF GENERATOR Spares 1 as is where is
109488 MKS OEM-25G RF GENERATOR Spares 1 as is where is
109489 MKS OEM-25N-01 RF GENERATOR Spares 1 as is where is
109490 MKS OEM-6A-01 RF GENERATOR Spares 1 as is where is
109491 MKS OEM-6A-11491-51 RF GENERATOR Spares 1 as is where is
74164 MKS 154-0100P High Vacuum Valve, NEW spares 31.12.2005 1 as is where is immediately
109492 MKS OEM-6AM-1B RF GENERATOR Spares 2 as is where is
109493 MKS OEM25A-21091-51 RF GENERATOR Spares 3 as is where is
109494 MKS PC-3G RF GENERATOR Spares 1 as is where is
106085 MKS ASTeX Astron FI80131-R Remote Plasma Source Spares 1 as is where is immediately
101024 MKS Instruments 162-0040K Inline Pneumatic Valve ISO-KF NW 40 flanges spares 1 as is where is immediately
84235 Moeller Xpole PLSM-C10/1 mini breaker switch Spares 1 as is where is immediately
18877 MOSAID 1000242-01 MS3400 test system reference manual 1 as is where is
106242 Muegge MX4000D-110LL MICROWAVE POWER SUPPLY SPARES 01.02.2002 8 as is where is immediately
79968 Muegge MW2009D-260ED Magnetron Head 2.45GHZ 01.12.2001 2 as is where is immediately
77936 Muegge MX4000D-110LL Microwave Power Supply 3 as is where is immediately
106703 N&K ANALYZER 5700-CDRT Wafer Inspection System 1 as is where is
106704 n&k Technology 6700-CDRT Wafer Inspection System 1 as is where is
91530 NANOMETRICS NANOMETRICS 9-7200-0195E Mask & Wafer Inspection 200 mm 1 as is where is
101585 NANOMETRICS CALIPER-ULTRA Overlay Measurement 300 mm 30.06.2006 1 as is where is
84369 NC NOR-CAL PRODUCTS 0995-16528 CHEMRAZ O-RING INSIDE OF VALVE 01.03.1990 1 as is where is immediately
91143 NEC NEC SL-473F Si Wafer Marker 1 as is where is immediately
83888 NEC MU1238B-11B AIRFLOW ROTATION 1 as is where is immediately
83889 NEC MF300-02 MIST FILTER MF300-02 1 as is where is immediately
83545 Nemic-LAMBDA CKD-65/65 65V 6A DC Regulated power supply FOR Advantest T5335P Spares 1 as is where is immediately
83546 Nemic-LAMBDA TKS_36-21A 36V 21A DC Regulated power supply, Advantest T5335P Spares 1 as is where is immediately
108850 NESLAB CFT-75 Recirculating Water Chiller CHILLER 1 inquire
108851 NESLAB RTE-221 Refrigerated Recirculating Water Chiller with Microprocessor Controller CHILLER 1 inquire
94594 Neslab 1033-0017-001 Chiller CHILLER 1 as is where is
74168 Neslab RTE-110 chiller 1 as is where is immediately
108769 NESLAB HX-750 Air Cooled Recirculating Water Chiller, Damaged Compressor 1 as is where is
108770 NESLAB HX-75 Recirculating Water Chiller w/Digital Temp Ctlr, Water Cooled 1 as is where is
109045 NexTest / Teradyne Maverick-II PT Bitmap Tester TEST 01.05.2003 1 as is where is immediately
109046 NexTest / Teradyne Maverick-II PT Bitmap Tester TEST 01.05.2004 1 as is where is immediately
108187 NICOLET Magna 410 ft-ir FTIR Spectrophotometer Laboratory 01.06.1996 1 as is where is
108188 NICOLET Magna 560 ft-ir FTIR Spectrophotometer Laboratory 01.06.1998 1 as is where is
109057 Nikon NSR-S307E 193 nm (ArF) excimer exposure system 300 mm 1 as is where is immediately
109072 Nikon NSR-S208D 248 nm (KrF) excimer exposure system 300 mm 01.06.2010 1 as is where is immediately
103456 Nikon NWL860-TBM Wafer Auto Loader 150 mm, 200 mm 1 as is where is
108854 NIKON NWL-860 Automatic Microscope Wafer Loader for up to 200mm Wafers 1 inquire
108087 Nikon 4S061-690-1 Linear Motor Controller spares 1 as is where is
108088 Nikon 4S586-580 NEST spares 1 as is where is
108092 Nikon OPTIPHOT-200 Wafer inspection microscope 200 mm 3 as is where is
108093 Nikon OPTIPHOT-300 Wafer inspection microscope 300 mm 1 as is where is
108094 Nikon OPTIPHOT-88-AC IN Wafer inspection microscope 200 mm 1 as is where is
110663 Nikon NSR-SF140 I-LINE SCANNER 300 mm 1 as is where is immediately
110664 Nikon NSR-S204B 248 nm (KrF) excimer exposure system 200 mm 1 as is where is immediately
108904 Nikon NSR-S609B ArF 193 nm scanner (Scanner) 300 mm 01.05.2005 1 as is where is immediately
108923 Nikon NES1-H04 Mini stepper 100 mm 01.05.2011 1 as is where is immediately
110729 Nikon NSR-S204B Photo-lithography 248 NM DUV Scanner with photo-track 300 MM 01.06.2002 1 as is where is immediately
109215 Nikon NSR-S308F 193nm (ArF) Scanner 300mm 1 as is where is
106956 Nikon 4S061-688-5 X Linear Motor Stage Amp SPARES 1 as is where is immediately
106707 NIKON AMI-3300 Wafer Inspection System 300 mm 1 as is where is
106708 NIKON AMI-3300 Wafer Inspection System 300 mm 1 as is where is
106709 NIKON AMI-3500 Wafer Inspection System 300 mm 1 as is where is
109536 Nikon NSR-SF100 i-line scan-field Stepper 200 mm 01.06.2003 1 as is all rebuilt immediately
111339 Nikon NSR-SF200 KrF Excimer Scan-Field Stepper 150 mm 01.06.2003 1 as is where is immediately
91376 NIKON NSR-204B Scanner DUV (GIGAPHOTON G20K2 KRF laser) 200 mm 1 as is where is
111344 Nikon NSR-S208D 248 nm Lithography System 300 mm 01.06.2008 1 as is where is immediately
91377 NIKON NSR-204B 248 nm (KrF) excimer exposure system 200 mm 01.06.2000 1 as is where is immediately
111345 Nikon NSR-S208D 248 nm Lithography System 300 mm 01.06.2011 2 as is where is immediately
109051 Nikon NSR-S203B 248 nm (KrF) excimer exposure system 200 mm 01.06.1999 1 as is where is immediately
109052 Nikon NSR-S203B 248 nm (KrF) excimer exposure system 200 mm 01.06.1998 1 as is where is immediately
105869 Nisshin 0-76 cmHg vacuum gauge spares 1 as is where is immediately
105870 Nisshin 0-1 kgf/cm2 pressure gauge spares 1 as is where is immediately
111105 Nissin EE11140-0 Aperture (1) 40mm*38mm - 3000 3000(W) Spares 5 inquire
111106 Nissin 17107405-A Beam Dump Tile - 3000 3000(W) Spares 5 inquire
111107 Nissin EE05017-0 Gas Spacer - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111232 NISSIN SOK30-2070 Crucible - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111233 NISSIN SOK30-2015 Gas Nozzle - 2300 2300 (Nissin) Spares 5 inquire
111234 NISSIN EE10918-0 Aperture - 3000 3000(W) Spares 5 inquire
111235 NISSIN SOK30-2109 I/S Suppression Cable - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111236 NISSIN SOK30-2001 Arc Chamber Plate, Upper - 2300 2300 (Nissin) Spares 5 inquire
111265 NISSIN SOK30-2035 Reflector 2 - 2300 2300 (Nissin) Spares 5 inquire
111266 NISSIN SOK30-2091 Electrode 2 - 2300 2300 (Nissin) Spares 5 inquire
111267 NISSIN SOK30-2061 Oven Cap - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111268 NISSIN SOK30-2068 Oven Cap - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111269 NISSIN SOK30-2097 Insulator - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111270 NISSIN SOK30-2096 Electrode Spacer B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111271 NISSIN SOK30-2133 Gas Nozzle (4) - 3000 3000(Mo) Spares 5 inquire
111272 NISSIN SOK30-2141 Spacer C - 3000 3000(MO) Spares 5 inquire
111273 NISSIN SOK30-2137 Electrode 2 - 3000 3000(MO) Spares 5 inquire
111274 NISSIN EE-04973 Sheath Nut - 3000 3000(MO) 3000(W) Spares 5 inquire
111275 NISSIN SOK30-2124 Insulation Ring (1-4) - 3000 3000(MO) 3000(W) Spares 5 inquire
111276 NISSIN SOK30-2123 Reflector (4) - 3000 3000(MO) 3000(W) Spares 5 inquire
111277 NISSIN SOK30-2125 Insulation Ring (2-4) - 3000 3000(MO) 3000(W) Spares 5 inquire
111278 NISSIN SOKS03-2018 Arc Chamber Side Plate A - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) Spares 5 inquire
111279 NISSIN SOKS03-2019 Arc Chamber Side Plate B - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) Spares 5 inquire
111280 NISSIN EE04973-0 Sheath Nut - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111281 NISSIN EE05018-0 Spacer F - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111282 NISSIN EE03932-1 Arc Chamber - All Common 3000 (W) 9600 (IHC-R2) Spares 5 inquire
111089 Nissin SOK30-2140 Electrode 5 - 3000 3000(Mo) Spares 5 inquire
111090 Nissin SOK30-2094 Electrode 5 - 2300&3000 (W) 2300 (Nissin) Spares 5 inquire
111091 Nissin SOK30-2093 Electrode 4 - 2300&3000 (W) 2300 (Nissin) Spares 5 inquire
111092 Nissin SOK11-0112 Insulation Ring 1B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111093 Nissin SOK30-2139 Electrode 4 - 3000 3000(Mo) Spares 5 inquire
111094 Nissin SOK11-0123 Filament Feedthrough 1B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111095 Nissin SOK11-0111 Insulation Ring 1A - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111096 Nissin SOK11-0122 Filament Feedthrough 1A - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111097 Nissin NIE79483-2 Carbon Lining 2 - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111098 Nissin EE05021-0 Insulation Base (A) - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111099 Nissin NIE47348-2-B Aperture (2) : B Type - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111100 Nissin SOK30-2142 Electrode 6 - 3000 3000(Mo) Spares 5 inquire
111101 Nissin SOK40-2031 Insulator (A) - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) Spares 5 inquire
111102 Nissin SOK30-2089 Electrode 1-A - 2300 2300 (Nissin) Spares 5 inquire
111103 Nissin NIE48864-1-B Beam Dump Tile - 2300 2300 (2nd) Spares 5 inquire
110730 Nitto DR-8500-II Wafer taper 150-200 mm 01.02.2001 1 as is where is immediately
110731 Nitto HR-9300 Wafer De-taper 200-300 mm 01.02.2015 1 as is where is immediately
110732 Nitto HR-8500-II Wafer de-laminator 150-200 mm 01.07.2000 1 as is where is immediately
83597 Nitto TT1R2-1 teach pendant for robot TT1R2-1 spares 1 as is where is immediately
32210 Nitto HR8500-2 INSTRUCTION MANUAL MANUAL 1 as is where is immediately
32212 Nitto DR8500-2 INSTRUCTION MANUAL MANUAL 2 as is where is
108033 NOVA NOVASCAN 3090 P/N 390-10000-11 CMP metrology system 300 mm 1 as is where is immediately
70300 Novascan 945-00666-00 HALOGEN LAMP SPARES 2 as is where is immediately
109498 NPP NPG-15KM RF GENERATOR Spares 10 as is where is
109499 NPP NPG-3KG RF GENERATOR Spares 1 as is where is
109500 NPP NPG-8000H RF GENERATOR Spares 2 as is where is
109501 NPP NPG-8000H(VER 04) RF GENERATOR Spares 2 as is where is
109502 NPP NPG-8000H(VER 05) RF GENERATOR Spares 3 as is where is
109503 NPP NPG-8000H(VER 06) RF GENERATOR Spares 4 as is where is
109504 NPP NPG-8000H(VER 08) RF GENERATOR Spares 1 as is where is
109505 NPP NPM-10KCSM RF GENERATOR Spares 4 as is where is
109506 NPP NPM-10KCSMD RF GENERATOR Spares 3 as is where is
109507 NPP NPM-1250M RF GENERATOR Spares 3 as is where is
109508 NPP NPM-1250X RF GENERATOR Spares 8 as is where is
109509 NPP NPM-2KEM RF GENERATOR Spares 1 as is where is
109510 NPP NPM-3KCAT RF GENERATOR Spares 1 as is where is
109511 NPP NPR-804L RF GENERATOR Spares 26 as is where is
109512 NPP NPR-804LJ RF GENERATOR Spares 2 as is where is
109513 NPP NPRLC-400 RF GENERATOR Spares 10 as is where is
103384 Nuclear Elettronica 314E 5/12 - 6/2 rev A Power Supply, 5V/12A - 6V/2A Spares 14 as is where is immediately
83567 Numatics 225-272B Solenoid Valve 01.04.2009 1 as is where is
74179 Numatics 227-833B valve 3 as is where is
74180 Numatics L22L-03 FILTER PNEUMATIC 01.01.2011 1 as is where is
83905 NUPRO SS-4BK-V51 REGULATOR PRESSURE Spares 1 as is where is immediately
83914 NUPRO SS-4R3A1- TUBE FITTINGS & VALVE 1 as is where is immediately
109058 Olympus AL110N-LMB6 Microscope autoloader only (Not including the microscope) 100 MM AND 150 mm 1 as is where is immediately
108857 OLYMPUS AL100-L8 Wafer Loader, 200mm, Parts Tool 200 MM 1 inquire
106825 Olympus AL110-LMB6 with MX51 wafer loader with Microscope 150mm 1 as is where is immediately
110696 Olympus AL100-L6 Wafer autoloader 100MM TO 150 MM 1 as is where is immediately
110697 Olympus AL100-LB6 Wafer autoloader 100MM TO 150 MM 2 as is where is immediately
83835 Olympus WHK 10X/20L-H EYEPIECES FOR TRINOCULAR MICROSCOPE Spares 2 as is where is immediately
80254 OLYMPUS DBAP-FA-Z SERVO DRIVER 30W 500 PPR 1 as is where is immediately
65934 Olympus BH2-UMA BRIGHTFIELD / DARKFIELD REFLECTED LIGHT ILLUMINATOR FOR THE BH SERIES MICROSCOPES SPARES 1 as is where is immediately
109035 Olympus AL110N-LMB6 Wafer Inspection Microscope with autoloader 100 MM AND 150 mm 1 as is where is immediately
108786 OLYMPUS BH-BHM Wafer Inspection Microscope 150 mm 1 as is where is immediately
80247 Olympus Optical DBAP-FA-Z GA Servo Driver 1 as is where is immediately
106896 Omniguard 860UV-IR UV-IR Fire detector, w/ mount Spares 2 as is where is immediately
13195 OMRON r88d-ua02ha servo driver 01.12.2003 1
84386 OMRON E3C-DM2R 2 M PHOTOELECTRIC SWITCH 2 m 3 as is where is immediately
84395 OMRON E3C-C PHOTOELECTRIC SWITCH 1 as is where is immediately
84397 OMRON E3S-X3CE4 TEMPERATURE CONTROLLER 2 as is where is immediately
83886 OMRON TL-W5WC2 PROXIMITY SWITCH 1 as is where is immediately
84398 OMRON E3XR-CE4 TEMPERATURE CONTROLLER 2 as is where is immediately
84399 OMRON E2E-C1C1 PROXIMITY SWITCH 1 as is where is immediately
84400 OMRON E3S-XE1 PHOTO ELECTRIC SWITCH 1 as is where is immediately
84401 OMRON E3C-JC4P PHOTO ELECTRIC SWITCH 1 as is where is immediately
84402 OMRON E3X-A11 PHOTO ELECTRIC SWITCH 1 as is where is immediately
84403 OMRON E3HT--DS3E2 PHOTO ELECTRIC SENSOR 1 as is where is immediately
84404 OMRON E3C--JC4 AMPLIFIER UNIT 1 as is where is immediately
84405 OMRON EE-SPW321 PHOTO MICROSENSOR 1 as is where is immediately
84406 OMRON E32-TC200A PHOTO ELECTRIC SWITCH 1 as is where is immediately
108858 ON SITE GAS SYSTEMS N-20 N2 Generator with 100 Gallon Storage Tank FACILITIES 1 inquire
15900 ORIENTAL MOTOR 21K6GK-A2 INDUCTION MOTOR 2 as is where is immediately
83805 ORIENTAL MOTOR 21K6GN-A TW9 50602 1 as is where is immediately
83806 ORIENTAL MOTOR 51K40GN-AT 1 as is where is immediately
83807 ORIENTAL MOTOR PB204-101 2 as is where is immediately
83808 ORIENTAL MOTOR 5rk40gk-a2 MOTOR ELECTRIC 1 as is where is immediately
83809 ORIENTAL MOTOR 2IK6GK-A MOTOR ELECTRIC 01.12.1993 1 as is where is immediately
83825 ORIENTAL MOTOR MBM425-411 SPEED CONTROL MOTOR 1 as is where is immediately
83834 ORIENTAL MOTOR PH596-A STEPPING MOTOR SPARES 1 as is where is immediately
13206 ORIENTAL MOTOR 4LF45N-2 LINEAR HEAD SPARES 1 as is where is immediately
83875 ORIENTAL MOTOR UPH564-A 5 PHASE STEPPING MOTOR SPARES 1 as is where is immediately
83629 ORIENTAL MOTOR 4RK25RGK-AM REVERSIBLE MOTOR SPARES 1 as is where is immediately
13014 Oriental Motor 5RK40RGK-AM REVERSIBLE MOTOR, 40W 100V 50/60 HZ Spares 01.06.2000 1 as is where is
99398 Oxford Micro-etch 300 Dry Etcher 1 as is where is immediately
99399 Oxford Micro-dep 300 PE CVD system (For spares use) 1 as is where is immediately
108192 PAC TECH SB2-SM SOLDER BALL BUMPER 200 mm 1 as is where is
103819 Palomar 3500-III Die Bonder Assembly 1 as is where is immediately
84058 PATLITE SEFW-A SIGNAL TOWER 1 immediately
109514 PEARL GEN 80-19 RF GENERATOR Spares 1 as is where is
109515 PEARL M-30A2S-V RF GENERATOR Spares 1 as is where is
109516 PEARL RP-3000-100MK-PS RF GENERATOR Spares 13 as is where is
109517 PEARL RP-8000-2M RF GENERATOR Spares 1 as is where is
109518 PEARL ZDK-926-100M RF GENERATOR Spares 13 as is where is
108861 PEARL KOGYO ZDK-916L2X Automatch Controller, Brand New, 4ea Available SPARES 1 inquire
84232 Pepperl Fuchs OBE5000-18GM70-SE5 proximity sensor Spares 1 as is where is immediately
84234 pepperl&fuchs OBE5000-18GM70-E5-V1 Proximity sensor Spares 1 as is where is immediately
111357 PERKIN ELMER 2400-8SA Sputter system 1 as is all rebuilt
109519 PLASMART PF05100-3B36S RF GENERATOR Spares 6 as is where is
109520 PLASMART PF05100-3B36S RF GENERATOR Spares 1 as is where is
109521 PLASMART PFDUAL-6B36A RF GENERATOR Spares 1 as is where is
83615 PMS MICRO LPC-210 MICROLASER PARTICLE COUNTER MALVERN WR 13 LN 31.01.1989 1 as is where is immediately
83506 POWERTEC 9J5-360-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.04.1994 1 as is where is immediately
83507 POWERTEC ASTEC 9J8-200-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 31.01.1994 1 as is where is immediately
83508 POWERTEC ASTEC 9K2-300-372 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.04.1994 1 as is where is immediately
83509 POWERTEC ASTEC 9J12-130-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 31.05.1994 1 as is where is immediately
83510 POWERTEC ASTEC 6C32-EE-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.06.1994 1 as is where is immediately
91356 PSC DES-220-456AVL ASHER 200 mm 1 as is where is immediately
83928 PULNIX TM-7EX VIDEO CAMERA WITH FUJI NF35A-2 LENS 01.12.1998 1 as is where is immediately
91552 RAYTEX RXW-800 EDGE SCAN 200 mm 31.05.2008 1 as is where is
98489 Raytex RXW-0826SFIX-SMIF edge scanner 200mm 1 as is where is
108479 Raytex RXW-1227 EdgeScan Edge Defect 1 as is where is
84239 Raytheon ELC-14947 Directed light assembly, for ESI Spares 1 as is where is immediately
107796 RFPP LF-5 RF Generator Spares 1 as is all rebuilt immediately
110401 RFPP AM-10 Match SPARES 1 inquire
110402 RFPP AM-10 Match SPARES 1 inquire
110403 RFPP AM-10 Match SPARES 1 inquire
110404 RFPP AM-10 Match SPARES 1 inquire
110405 RFPP AM-10 Match SPARES 1 inquire
110406 RFPP AM-10 Match SPARES 1 inquire
110407 RFPP AM-10 Match SPARES 1 inquire
110408 RFPP AM-10 Match SPARES 1 inquire
110409 RFPP AM-10 Match SPARES 1 inquire
110410 RFPP AM-10 Match SPARES 1 inquire
110411 RFPP AM-10 Match SPARES 1 inquire
110412 RFPP AM-10 Controller Miscellaneous SPARES 1 inquire
110413 RFPP AM-20 Match SPARES 1 inquire
110414 RFPP AM-20 Match SPARES 1 inquire
110415 RFPP AM-30 Controller Miscellaneous SPARES 1 inquire
110416 RFPP AM-5 Match SPARES 1 inquire
110417 RFPP AM-5 Match SPARES 1 inquire
110418 RFPP AM-5 Controller Miscellaneous SPARES 1 inquire
110420 RFPP AMNPS-2A Controller Miscellaneous SPARES 1 inquire
110421 RFPP AMNPS-2A Controller Miscellaneous SPARES 1 inquire
110422 RFPP AMNPS-2A Controller Miscellaneous SPARES 1 inquire
110423 RFPP AMNPS-2A Controller Miscellaneous SPARES 1 inquire
110424 RFPP AMNPS-2A Controller Miscellaneous SPARES 1 inquire
110425 RFPP AMNPS-2A Controller Miscellaneous SPARES 1 inquire
110426 RFPP AMNPS-2A Controller Miscellaneous SPARES 1 inquire
110427 RFPP ICP20-P Generator SPARES 1 inquire
110428 RFPP LF-5 Generator SPARES 1 inquire
110429 RFPP LF-5 Generator SPARES 1 inquire
110430 RFPP LF-5 Generator SPARES 1 inquire
110431 RFPP LF-5 Generator SPARES 1 inquire
110432 RFPP LF-5 Generator SPARES 1 inquire
110433 RFPP LF-5 Generator SPARES 1 inquire
110434 RFPP LF-5S Generator SPARES 1 inquire
110439 RFPP RF-20H Controller Miscellaneous SPARES 1 inquire
110440 RFPP RF-20M Generator SPARES 1 inquire
110442 RFPP RF-20S Generator SPARES 1 inquire
110443 RFPP RF-20S Generator SPARES 1 inquire
110444 RFPP RF-20S Generator SPARES 1 inquire
110445 RFPP RF-20S Generator SPARES 1 inquire
110446 RFPP RF-20S Generator SPARES 1 inquire
110449 RFPP RF-30S Generator SPARES 1 inquire
110450 RFPP RF-30S Generator SPARES 1 inquire
110451 RFPP RF-30S Generator SPARES 1 inquire
110452 RFPP RF-5S Generator SPARES 1 inquire
110453 RFPP RF-5S Generator SPARES 1 inquire
110454 RFPP RF-5S Generator SPARES 1 inquire
110455 RFPP RF-5S Generator SPARES 1 inquire
110456 RFPP RF-5S Generator SPARES 1 inquire
110457 RFPP RF-5S Generator SPARES 1 inquire
110458 RFPP RF-5S Generator SPARES 1 inquire
110459 RFPP RF-5S Generator SPARES 1 inquire
107768 RFPP AM-10 RF Match Spares 1 as is where is immediately
110460 RFVII ATN-10 Match SPARES 1 inquire
110461 RFVII ATN-50 Match SPARES 1 inquire
110462 RFVII PT-II-CE Controller Miscellaneous SPARES 1 inquire
110463 RFVII PT-II-CE Controller Miscellaneous SPARES 1 inquire
110464 RFVII RF-20 Generator SPARES 1 inquire
98731 Rofin PowerLine D-100 (RSM, Sx) Fiber Laser for solar cell edge isolation Solar 01.06.2008 1 as is where is immediately
106827 Rorze RR701L1521-3A3-111-3 Dual arm Atmospheric wafer handling robot NA 1 as is where is
106828 Rorze RR713L1521-3A3-E13(E11)-1 Dual arm Atmospheric wafer handling robot 200mm 1 inquire
83881 Rorze BERC-RD023MS 2P MICRO STEP DRIVER VF C5622 3 as is where is immediately
98490 Rorze RR701L1521-3A3-111-2 Dual arm Atmospheric wafer handling robot 200mm 1 as is where is
98491 Rorze RR701L90-Z20-616 Dual arm Atmospheric wafer handling robot 200mm 1 as is where is
106188 Rorze RR713L1521-3A3-E11-0 Dual arm Atmospheric wafer handling robot with controller Spares 1 as is where is 1 month
81835 Rosemont Analytical 1055-01-11-22 PH Monitor Solucomp II 1 as is where is immediately
108866 ROYCE INSTRUMENTS ASTM-2kg Die Shear Load Cell SPARES 1 inquire
108867 ROYCE INSTRUMENTS ASTM-50g Wire Pull Load Cell SPARES 1 inquire
108868 ROYCE INSTRUMENTS ASTM-200g Die Shear Load Cell SPARES 1 inquire
108869 ROYCE INSTRUMENTS ASTM-200g Wire Pull Load Cell SPARES 1 inquire
108870 ROYCE INSTRUMENTS ASTM-200g Wire Pull Load Cell SPARES 1 inquire
108871 ROYCE INSTRUMENTS STM-20kg Die Shear Load Cell SPARES 1 inquire
108034 RUDOLPH AXI-S930B Macro Defect Inspection 300 mm 01.01.2007 1 as is where is
94478 RUDOLPH FE-3 Focus Ellipsometer 200 mm 1 as is where is
94479 RUDOLPH FE-4D Focus Ellipsometer 200 mm 1 as is where is
98835 RUDOLPH AXI-S Macro Wafer Inspection 300 mm 01.06.2004 1 as is where is immediately
98837 RUDOLPH MP1-300XCU Film Thickness Measurement System 300 mm 30.04.2008 1 as is where is immediately
100928 RUDOLPH AXI-S Macro Inspection System 300 mm 31.05.2003 1 as is where is
100929 RUDOLPH FE-7 Ellipsometer 200 mm 31.05.1996 1 as is where is
103553 RUDOLPH AXI-S Macro Inspection 300 mm 31.05.2004 1 as is where is
106422 Rudolph NSX-105 Automated Defect Inspection 150 mm/200 mm 1 as is where is immediately
106424 Rudolph NSX-95 Automated Macro Defect Inspection 150 mm/200 mm 1 as is where is immediately
106425 Rudolph NSX-95 Manual Macro Wafer Defect Inspection 150 mm/200 mm 1 as is where is immediately
108483 Rudolph Matrix S-300 Ellipsometer 300 mm 1 as is where is
106716 RUDOLPH MP-300 Film thickness measurement 300 mm 01.06.2005 1 as is where is
106717 RUDOLPH MP1-300 Film Thickness Measurement 300 mm 01.06.2003 1 as is where is
106718 RUDOLPH MP1-300 Film Thickness Measurement 300 mm 1 as is where is
106719 RUDOLPH MP1-300XCU Film thickness measurement system 300 mm 01.06.2008 1 as is where is
109550 Rudolph AXI-S Macro Defect Inspection System 300 mm 01.06.2006 1 as is where is immediately
98298 RUDOLPH MP1-300 Film thickness measurement 300 mm 31.05.2007 1 as is where is
98496 SAMCO RIE-212 IPC LED Reactive Ion Etcher 50/100mm 1 as is where is
106736 SAMCO RIE-300NR Reactive Ion Etching System 300 mm 01.06.2006 1 as is where is
106737 SAMCO RIE-300NR Reactive Ion Etching System 300 mm 01.06.2006 1 as is where is
84373 SAMSUNG MR16R0828AN1-CKB 128 MB/8 RAM 2 as is where is immediately
86303 Sankei Giken TCW-12000 CV Process Module Chiller Facilities 01.07.1996 1 as is where is immediately
106206 SANYO DENKI RBA2C-202 SERVO DRIVER MODULE Spares 2 as is where is immediately
83634 SCANLAB RTC 2 Type XY-01 PC INTERFACE BOARD 20-TVS 31.07.2006 2 as is where is immediately
106661 SDI FAAST 230-DP+SPV+SLIC CARRIER LIFETIME MEASUREMENT 200 mm 1 as is where is
84387 SEEKA UM-T50DT PHOTO SENSOR 5 as is where is immediately
71921 Seiko Seiki SCU-1000C Controller for Seiko Seiki STP 1000C Turbo pump spares 01.10.1999 1 as is where is immediately
54208 SemiNet Automation Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Wafer Stocker 200 mm 01.10.2007 1 as is where is immediately
84342 Semitool ST-921R-AA Spin Rinse Dryer 1 as is where is immediately
84351 Semitool ST-240 Spin Rinse Dryer 1 as is where is immediately
84365 Semitool A72-20M SRD Rotor Spares 1 as is where is immediately
106946 Semitool ST-921R-AA Spin Rinse Dryer 100 mm 2 as is where is immediately
78169 Sensarray 1530D-8-0023 Process Probe Instrumented Wafer 200 mm 31.05.2003 1 as is where is immediately
78170 Sensarray 1530D-8-0023 Process Prober Instrumented Wafer 200 mm 01.07.2003 1 as is where is immediately
77161 Shimaden SR91-8P-90-1N0 Temperature Regulator Spares 1 as is where is immediately
108595 SHINKAWA UTC-2000 SUPER Wire Bonder Assembly 01.05.2008 1 as is where is immediately
108596 SHINKAWA UTC-1000 SUPER Wire Bonder Assembly 01.05.2007 21 as is where is immediately
108597 SHINKAWA UTC-3000WE Wire Bonder Assembly 01.05.2012 1 as is where is immediately
105873 Shinmei Keiki 0-1 kgf/cm2 pressure gauge spares 2 as is where is immediately
93409 Shinwa Controls Co., Ltd. CH1-CP-D1 CH1-CP-D1 1 as is where is
76611 SHOWA ELECTRONICS 511-16 REGULATED DC POWER SUPPLY laboratory 1 as is where is immediately
97080 Singulus S-000414 Singular 05.03.2014 1 inquire
84237 SKF 6002-2Z bearings Spares 1 as is where is immediately
84236 SMC ZPT25US-B5 Suction cups Spares 1 as is where is immediately
84259 SMC CMFN20-50 AIR CYLINDER 1 as is where is immediately
84262 SMC CDGBN20-204 AIR CYLINDER 3 as is where is immediately
84263 SMC CDM2BZ20-125 AIR CYLINDER 2 as is where is immediately
84264 SMC CMFN20-50 AIR CYLINDER 1 as is where is immediately
84267 SMC CDM2RA20-190 AIR CYLINDER 1 as is where is immediately
84268 SMC CDG1FA20-222 AIR CYLINDER 1 as is where is immediately
77157 SMC ZX1101-K15LZB-D21L-X121 Vacuum GENERATOR ZXF35 Spares 1 as is where is immediately
77163 SMC CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE Spares 1 as is where is immediately
105839 SMC INR-498-050 Single loop chiller FACILITIES 1 as is where is immediately
83839 SMC CDQSWB20-35DC COMPACT CYLINDER Spares 3 as is where is immediately
83840 SMC ECQ2B32-10DC ACTUATOR, 32MM CQ2 DOUBLE-ACTING Spares 3 as is where is immediately
83842 SMC CQ2B25-25D ACTUATOR CYLINDER Spares 5 as is where is immediately
83843 SMC CQ2B25-20DC ACTUATOR, CQ2 COMPACT CYLINDER Spares 3 as is where is immediately
83844 SMC ECDQ2B32-30D CQ2 COMPACT CYLINDER Spares 2 as is where is immediately
83845 SMC ECDQ2B32-50D COMPACT CYLINDER Spares 1 as is where is immediately
83867 SMC MXS16-30 AS cyl, slide table, MXS/MXJ GUIDED CYLINDER SPARES 1 as is where is immediately
83612 SMC CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE Spares 1 as is where is immediately
83868 SMC CDQ1B32-40D CYL compact, CQ2 COMPACT CYLINDER SPARES 1 as is where is immediately
83869 SMC CDQ1B40-20DM CYLINDER compact, CQ2 COMPACT CYLINDER SPARES 1 as is where is immediately
83632 SMC MHF2-12D1R SMC cylinder 1 as is where is immediately
110806 SMC HRS050-WN-20 Thermo Chiller chiller 01.11.2016 1
93406 SMC INR 341-54E CHILLER 1 inquire
93407 SMC INR-341-59B CHILLER Chiller 1 as is where is immediately
93408 SMC INR-341-59A INR-341-59A 1 as is where is
93410 SMC INR-499-201 Chiller Chiller 1 as is where is immediately
93411 SMC INR-499-203 INR-499-203 CHILLER 1 as is where is
93414 SMC INR-341-59B1 chiller 1 as is where is
84214 SMC ULUSP-00005 PNEUMATIC MANIFOLD BASE BLOCK with SMC VL-14 VL-15 VL-16 Spares 2 as is where is immediately
110631 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110632 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110633 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110634 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110635 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
110636 Sokudo SK-3000 Lithography Coater and Developer 300 mm 1 as is where is
108876 SONIX UHR-2000 Scanning Acoustic Microscope ASSEMBLY 1 inquire
53268 Sorensen SS200-S0120 Power Supply Megatest Part number 113849 Spares 31.05.1994 3 as is where is immediately
110512 SPTS AS314055-03 Match SPARES 1 as is where is immediately
84414 SQUARED SBO-2 SWITCH 3536 3 as is where is immediately
110780 SSM 5130 Hg-CV Hg-CV measurement system up to 12 inch 01.01.2004 1 as is where is immediately
86280 ST Automation PT-M1 Automated Test System Test 1 as is where is immediately
84297 Staubli 308998-001 RX90 robot controller spares 1 as is where is immediately
109605 Strasbaugh 7AA-SP Grinder 1 inquire
108490 Strasbaugh 6DS-SP Multi-Process CMP 200 MM 1 as is where is
84380 SUNX SS-A5 SENSOR CONNECTIONS 6 as is where is immediately
84381 SUNX CX-21/FX/SU SENSOR SYSTEM C8 6 as is where is immediately
84382 SUNX SU-7 LO SENSOR & SYSTEM HB 012 3 as is where is immediately
84383 SUNX GSA-5S QUALITY PROXIMITY SENSOR HB 012 2 as is where is immediately
84384 SUNX SS-AT1 / SS2-300E SENSOR SYSTEM 2 as is where is immediately
84385 SUNX SH-21E SENSOR SYSTEM 1 as is where is immediately
106672 SUSS MICROTECH RC 8-ACS 200 Resist Spin Coater 200 mm 1 as is where is
87367 SVG 99-46450-01 9200SE SVG ASML 90 track Z-robot Robot 1 as is all rebuilt immediately
108105 SVG 8632-CTD-D Developer Track 1 as is where is
72155 SVG /ASM 128197-001 HEATER ELEMENT, HCGI Spares 31.01.2003 1 as is where is immediately
108979 SVG Thermco 606314-02 REV E Galil Motion Controller CMU PCB, DMC 1330 Spares 1 as is where is immediately
108980 SVG Thermco 606314-02 REV 1 Galil Motion Controller CMU PCB, DMC 1330 Spares 1 as is where is immediately
108982 SVG Thermco 606180-01 rev 04 WTU MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
108983 SVG Thermco 168160-001 REV 4 PCB, ANALOG ATMOSPHERE APL Spares 01.06.1998 1 as is where is immediately
108984 SVG Thermco 606210-01 REV 4 S4 CLPB MOTION CONTROL INTERFACE PCB Spares 01.08.2001 1 immediately
108985 SVG Thermco VMEXB12D-CS VMEBus J1/J2 Common Substrate Extender Board Spares 01.06.2004 1 as is where is immediately
108986 SVG Thermco 165220-001 REV B EXTENDER BOARD Spares 1 as is where is immediately
106941 SVG Thermco 168150-002 REV 3 S13 RELAY BOARD PCB Spares 1 as is where is immediately
106942 SVG Thermco 606200-01 REV 3 S5 WAF CRT MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
106943 SVG Thermco 606210-01 REV 4 S4 CLPB MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
83910 SWAGELOK 55-8-VCO-4 TUBE FITTINGS 5 as is where is immediately
83911 SWAGELOK SS-4-VCO-3 TUBE FITTINGS 15 as is where is immediately
83912 SWAGELOK SS-4-VCO-4 TUBE FITTINGS 9 as is where is immediately
83913 SWAGELOK SS-605-4 TUBE FITTINGS 12 as is where is immediately
83915 SWAGELOK GLV-4MW-3 WELD FITTINGS L-606A 2 as is where is immediately
80257 Swagelok / CAJON SS-6-VC0 FITTINGS spares 8 as is where is immediately
79890 Systron Donner DL 40 - 2A Powe Supply - single and dual voltage 1 as is where is immediately
106544 Takatori ATM-1100E Film Laminator - Taping Machine 150 mm/200 mm 01.06.1995 1 as is where is immediately
83522 TDK E S R 05-12R-3 SWITCHING REGULATOR NEC CORPORATION 1 immediately
108878 TECHNICAL MFG. CORP. MICRO-g 62-111 Vibration Isolation Table, 36" X 72"; 1/4"- 20 Holes on 2" Centers SPARES 1 inquire
108879 TECHNICAL MFG. CORP. MICRO-g 63-463 Vibration Isolation Table, 48" X 30" SPARES 1 inquire
108880 TECHNICAL MFG. CORP. MICRO-g 63-661 Vibration Isolation Table, 47" X 36" SPARES 1 inquire
77089 TEL TOKYO ELECTRON 3387-002688-12 Tel P8XL Camera assembly Spares 1 as is where is immediately
110639 TEL Tokyo Electron P-12XL Prober 300 mm 1 as is where is
110640 TEL Tokyo Electron P-12XL prober 300 mm 1 as is where is
110642 TEL Tokyo Electron Telius SP-Vesta Dry Etcher 300 mm 1 as is where is
110645 TEL Tokyo Electron UNITY2e-855DD Dry Etcher 200 mm 1 as is where is
110646 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
110647 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
110648 TEL Tokyo Electron Unity2e-855II Dry Etcher 200 mm 1 as is where is
110649 TEL Tokyo Electron Unity2e-855II IEM Dry Etcher 200 mm 1 as is where is
110650 TEL Tokyo Electron Unity2e-855PP DP Dry Etcher 200 mm 1 as is where is
110651 TEL Tokyo Electron Unity2e-855SS Dry Etcher 200 mm 1 as is where is
110652 TEL Tokyo Electron Unity2e-85DPA Dry Etcher 200 mm 1 as is where is
110653 TEL Tokyo Electron Unity2E-85IEM Dry Etcher 200 mm 1 as is where is
108606 TEL Tokyo Electron I/F Block (Mark8 - i11D) Track interface block for Nikon i11D 200 mm 01.05.1997 1 as is where is
110654 TEL Tokyo Electron Unity2e-85TPATC Dry Etcher 200 mm 1 as is where is
108106 TEL Tokyo Electron Alpha-303i-H Vertical Furnace, MTO 300 mm 1 as is where is
108107 TEL Tokyo Electron Alpha-303i-K Vertical Furnace, DCS MTO 300 mm 1 as is where is
108108 TEL Tokyo Electron Alpha-303i-K Vertical Furnace, MTO 300 mm 1 as is where is
108111 TEL Tokyo Electron Indy-A-L Vertical Furnace, LPRO 300 mm 1 as is where is
108112 TEL Tokyo Electron Indy-B-L Vertical Furnace 300 mm 1 as is where is
108113 TEL Tokyo Electron Indy-B-L Vertical Furnace, D-poly 300 mm 1 as is where is
108114 TEL Tokyo Electron Indy-B-L Vertical Furnace, D-poly 300 mm 1 as is where is
108115 TEL Tokyo Electron Indy-B-L Vertical Furnace, DCS Nitride 300 mm 1 as is where is
108116 TEL Tokyo Electron Indy-I-L Vertical Furnace 300 mm 1 as is where is
108117 TEL Tokyo Electron Indy-I-L Vertical Furnace, LT ALD SIN 300 mm 1 as is where is
108119 TEL Tokyo Electron LU-8209 Auto refill system for TEL ALPHA-8S TEOS spares 1 as is where is
108126 TEL Tokyo Electron VMU-40-007 Heater for Alpha 8SE furnace spares 1 as is where is
91255 TEL TOKYO ELECTRON ALPHA-303i H type / Poly 300 mm 1 as is where is
83832 TEL Tokyo Electron 028-016314-1 FITTING TUBE...1016-0 8 SPARES 1 as is where is immediately
91256 TEL TOKYO ELECTRON ALPHA-303i H type / Poly 300 mm 1 as is where is
91258 TEL TOKYO ELECTRON ALPHA-303i K type 300 mm 1 as is where is
93059 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
93060 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
93062 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2004 1 as is where is
93063 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2005 1 as is where is
93064 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2007 1 as is where is
93065 TEL TOKYO ELECTRON ALPHA-303i Vertical Furnace K type / MTO 300 MM 31.05.2007 1 as is where is
103562 TEL Tokyo Electron ALPHA 303I-KVCN Poly / K type 300 mm 1 as is where is
103564 TEL Tokyo Electron ALPHA 303I-KVCN Poly / K type 300 mm 1 as is where is
103565 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
103566 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
103567 TEL Tokyo Electron ALPHA-303i K type / Poly 300 mm 1 as is where is
106416 TEL TOKYO ELECTRON INDY-PLUS-B-M VERTICAL CVD FURNACE 300 mm 01.07.2010 1 as is where is immediately
109236 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109237 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109238 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109239 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109240 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109241 TEL TOKYO ELECTRON ALPHA-303i Anneal Vertical Furnace 300mm 1 as is where is
109242 TEL TOKYO ELECTRON ALPHA-303i Nitride Vertical Furnace 300mm 1 as is where is
109243 TEL TOKYO ELECTRON ALPHA-303i Nitride Vertical Furnace 300mm 1 as is where is
109259 TEL TOKYO ELECTRON TELFORMULA ALD High-K Vertical Furnace 300mm 1 as is where is
91341 TEL TOKYO ELECTRON TELIUS SCCM T-3044SS DRY ETCHER 300 mm 31.05.2007 1 as is where is
109274 TEL TOKYO ELECTRON Telius SP-305 SCCM Dielectric Etch 300mm 1 as is where is
108511 TEL Tokyo Electron Tactras Vigus - Chamber Only Dielectric Etch 300 mm 1 as is where is
109027 TEL Tokyo Electron Alpha 8S-Z (AP) Vertical Furnace Oxide Process 200 mm 01.08.1999 1 as is where is immediately
86253 TEL TOKYO ELECTRON 2985-429208-W4 ACT 12 2985-429208-W4 ADH SUB UNIT BASE ASSY ADHESIVE MODULE 300 mm 1 as is where is immediately
108882 TELEMARK TT-3 Electron Beam Power Supply with TT-3/6 Control & XY Sweep SPARES 1 inquire
108883 TEMESCAL BJD-1800 E-Beam Evaporator with CV-14 Power Supply 1 inquire
108884 TEMESCAL FCE-2500 E-Beam Evaporator with Simba 2 Power Supply 1 inquire
108885 TEMESCAL VES-2550 E-Beam Evaporator with CV-14 Power Supply 1 inquire
83553 TEMPTRONIC TP22-2 TEMPERATURE TEMP SET TP22-2 01.12.1986 1 as is where is immediately
83576 TENCOR INSTRUMENTS AC 100-120 V POWER SW AC 100-120 V 01.11.1995 1 as is where is immediately
82177 Teradyne 405-097-00 Power-One Dual 5 V Power Supply 150 Amp, 230 VAC, for Teradyne J971 tester Spares 01.06.1997 4 as is where is immediately
83497 Teradyne 405-142-00 Power Supply 150 Amp, 230 VAC Spares 01.06.1997 1 as is where is immediately
82231 Teradyne 961-061-00 Teradyne J971 Power Supply 01.07.1997 1 as is where is immediately
82232 Teradyne 961-128-00 Teradyne J971 Power Supply 01.07.1997 1 as is where is immediately
80215 Teradyne 950-662-02/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 60619 9341 Spares 2 as is where is immediately
78168 Teradyne 950-656-00 rev B PCB from test system Spares 01.06.2000 1 as is where is immediately
80216 Teradyne 953-003-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 7221 9720 Spares 1 as is where is immediately
80217 Teradyne 950-542-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 30420 9208 Spares 1 as is where is immediately
80218 Teradyne 950-541-00 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 53420 9208 Spares 1 as is where is immediately
80219 Teradyne 950-421-01/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61819 9517 Spares 2 as is where is immediately
80220 Teradyne 950-713-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 2220 9702 Z18XX Spares 1 as is where is immediately
80221 Teradyne 950-220-02 rev a Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 42419 9251 Spares 1 as is where is immediately
80222 Teradyne 950-777-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 46500L 9513 1 as is where is immediately
80223 Teradyne 950-572-04 Rev. A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61219 9345 Spares 2 as is where is immediately
80224 Teradyne 950-569-03/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314 Spares 2 as is where is immediately
80225 Teradyne 950-212-03/B Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /B 55119 9328 Spares 1 as is where is immediately
80226 Teradyne 950-687-01 rev D Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /D 9752 L200 Spares 1 as is where is immediately
80227 Teradyne 950-561-04/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60620 9547 1 as is where is
84840 Teradyne 880-751-10 /E Precision Measurement unit PCB, REV E 1 as is where is immediately
83561 Teradyne 405-167-00 Power Supply 8 Amp, 28V 1 as is where is immediately
83566 Teradyne 405-096-00 POWER ONE Power Supply 150 Amp, 230 VAC (S233) Spares 01.06.1997 2 as is where is immediately
81836 Teradyne 961-129-01 Teradyne J971 test system power control panel 01.04.1997 1 as is where is immediately
108987 TERADYNE 950-217-04 REV B PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108988 TERADYNE 950-561-04 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108990 TERADYNE 950-562-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 01.06.1997 1 immediately
108991 TERADYNE 950-681-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
108992 TERADYNE 950-560-00 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
80321 Teradyne 880-751-10 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 61720 9521 1 as is where is immediately
80322 Teradyne 950-421-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 72019 9517 1 as is where is immediately
108994 TERADYNE 950-569-03 REV A PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
80323 Teradyne 950-574-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 71620 8541 Z18xx Spares 1 as is where is immediately
80324 Teradyne 950-568-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 73419 9710 TW568 REV A Spares 1 as is where is immediately
80325 Teradyne 950-566-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60520 9448 2 as is where is immediately
80326 Teradyne 950-569-03 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314 2 as is where is
80327 Teradyne 950-558-00 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 64620 9509 Spares 1 as is where is immediately
80328 Teradyne 950-560-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 93610 9918 1 as is where is immediately
80329 Teradyne 950-562-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 75019 9226 Spares 1 as is where is immediately
80330 Teradyne 950-681-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 74920 9319 1 as is where is immediately
80331 Teradyne 950-556-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 80419 94222 Spares 1 as is where is immediately
80332 Teradyne 950-217-04 Teradyne J971 PCB, 1 as is where is immediately
109006 TERADYNE 517-400-01 APMU Board for J750 tester SPARES 1 inquire immediately
82925 Teradyne 405-155-00 Power Supply 150 Amp, 230 VAC 01.06.1997 1 as is where is immediately
108887 TERRA UNIVERSAL 1679-06-1 SST Dessicator Cabinet , 2ea Available SPARES 1 inquire
70299 Texwipe 810-39234 BRUSH, ELONGATED CORE EBARA (TEXWIPE) SPARES 3 as is where is immediately
108214 THERMAL GYE-12000 Evaporator System 200 mm 1 as is where is
108609 THERMONICS T-2500SEA Precision Temperature Forcing System 300 mm 01.05.2011 1 as is where is immediately
108889 THERMONICS T-2427 Temperatuire Forcing System with DUT Cooling Shroud, Needs Compressor Work ASSEMBLY 1 inquire
83828 TOKIMEC 012-7 DIRECTIONAL CONTROL VALVE 2 as is where is immediately
83641 TOKYO ELECTRON 1D10-317R09-12 PLATE,GALDEN FLOW CHECKER SPARES 1 as is where is immediately
83640 TOKYO ELECTRON / CONTEC FC-SD70 flow meter FLOW CHECKER 1 as is where is immediately
103635 Toray SP-500w Bump Height Measurement 300 mm 1 as is where is
108777 TOWA CC-S Injection Molding Press, 2ea Available 01.01.2000 1 as is where is immediately
108778 Towa CC-S Injection Molding Press Assembly 01.05.2000 1 as is where is
110550 Trazar AMU10A-1 Match SPARES 1 inquire
109527 TRAZAR AMU10E-2 RF GENERATOR Spares 2 as is where is
110551 Trazar AMU10B-1 Match SPARES 1 inquire
109528 TRAZAR AMU2-1 RF GENERATOR Spares 6 as is where is
110552 Trazar AMU10B-1 Match SPARES 1 inquire
109529 TRAZAR SRN1-2 RF GENERATOR Spares 4 as is where is
110553 Trazar AMU10D-4 Match SPARES 1 inquire
109530 TRAZAR SRN1-3 RF GENERATOR Spares 1 as is where is
110554 Trazar AMU10D-4 Match SPARES 1 inquire
110555 Trazar AMU10G-1 Match SPARES 1 inquire
110556 Trazar AMU2B-1 Match SPARES 1 inquire
110557 Trazar AMU2B-1 Match SPARES 1 inquire
110558 Trazar AMU2B-1 Match SPARES 1 inquire
110559 Trazar AMU2B-1 Match SPARES 1 inquire
110560 Trazar AMU2B-1 Match SPARES 1 inquire
110561 Trazar AMU2B-1 Match SPARES 1 inquire
110562 Trazar AMU2D-1 Match SPARES 1 inquire
110563 Trazar AMU3-20 Match SPARES 1 inquire
110564 Trazar AMU5-1 Match SPARES 1 inquire
110565 Trazar AMU5C-1 Match SPARES 1 inquire
110566 Trazar AMU5C-1 Match SPARES 1 inquire
110567 Trazar AMU5D-1 Match SPARES 1 inquire
110570 Trazar RFS1-3 Switch Box Miscellaneous SPARES 1 inquire
110571 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110572 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110573 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110574 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110575 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110576 Trazar RFS1-4 Switch Box Miscellaneous SPARES 1 inquire
110577 Trazar SRN1-2 Match SPARES 1 inquire
110578 Trazar (Phoenix) AMU10A-1S Match SPARES 1 inquire
110579 Trazar (Phoenix) AMU10B-1 Match SPARES 1 inquire
110580 Trazar (Phoenix) AMU10B-1 Match SPARES 1 inquire
106551 TT Vision T-224X TT Vision - Post Tape Inspection 1 as is where is
84370 Tylan MDVX-015 Throttle Valve, KF40, with vexta motor driver Spares 1 as is where is immediately
106559 Ultron UHI08-12 Ultron Tabletop Laminator 200 mm / 300 MM 1 as is where is immediately
108903 Ulvac Ceraus ZX-1000 PVD 200 MM 01.05.1996 1 as is where is immediately
108913 Ulvac Ceraus ZX-1000 PVD Cluster tool 200 MM 01.05.1996 1 as is where is immediately
108914 Ulvac Ceraus ZX-1000 PVD 200 MM 01.05.1995 1 as is where is immediately
108915 Ulvac Ceraus ZX-1000 PVD Cluster tool 200 MM 01.05.2000 1 as is where is immediately
108947 Ulvac Entron-EX W300 PVD (Physical Vapor Deposition) cluster tool, 3 chamber 300 mm 01.06.2011 1 as is where is immediately
108948 Ulvac Entron-EX W300 PVD (Physical Vapor Deposition) cluster tool, 3 x PVD chambers+ support chambers 300 mm 01.06.2006 1 as is where is immediately
109276 Ulvac Entron-EX: Aluminum Interconnect PVD (Physical Vapor Deposition) 300mm 1 as is where is
108610 UNAXIS SLR-720 RIE 150 mm 1 as is where is immediately
109532 UNAXIS SLR-720 REACTIVE ION ETCHER 200mm 01.06.2012 1 as is where is
84078 UNIPHASE 1103P-0187 HE NE Laser Spares 31.12.1994 1 as is where is immediately
106560 V-Tek TM-330 TM-330 150 mm/200 mm 1 as is where is
108891 V-TEK TM-50 Manual Taping Machine 1 inquire
111182 Varian W220916001-23R23 CATHODE SHAFTLESS,GE(II),F21,BULLET TYPE,GEF4,9MM,SIDE 1.2MM,W, HIGH PURITY - HC Spares 5 inquire
111184 Varian W220916001-23R24 WAA2301131 CATHODE SHAFTLESS, GE(II), F21, BULLET TYPE, GEF4, 7.6MM W, SIDE 0.68MM, HIGH PURITY - HCS Spares 5 inquire
111186 Varian WW2091030-23 PH3 SOURCE SPECIES CATHODE PM KITS, W, HIGH PURITY - XER/EHP Spares 5 inquire
111188 Varian E17441100R4-1 APERTURE, BACK PLATE MAIN, IHC, 3IN1 HCS EXTENDED 3MM THICK W, HIGH PURITY - VIISTA HCS Spares 5 inquire
108892 VARIAN 929-0081 Star Cell Ion Pump Power Unit SPARES 1 inquire
84082 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type Pump 01.05.1999 1 as is where is immediately
111251 VARIAN E17276700R2-1 INSULATOR SINGLE SHIELD INNER,D2,HC, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111253 VARIAN E17276700R2-2 INSULATOR SINGLE SHIELD OUTER,D2,HC, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
95409 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type Pump 01.05.1999 1 as is where is immediately
108780 VARIAN 936-70 SP Helium Leak Detector 1 as is where is
80175 VAT PM-5 Adaptive Pressure controller spares 01.01.2012 1 as is where is immediately
101025 VAT 14040-je24-0004 HV Gate Valve SPARES 31.05.1996 1 as is where is immediately
101026 VAT 14040-je24-0004 HV Gate Valve SPARES 31.05.1996 1 as is where is immediately
101027 VAT 14040-je24-0004 HV Gate Valve SPARES 31.05.1996 1 as is where is immediately
84408 VAT 14046-PE44-1016 Gate Valve 8" Spares 1 as is where is immediately
108893 VEECO AP-150 Automtic Four Point Probe, for up to 6" Wafers 150 MM 1 as is where is 2 weeks
108781 VEECO MS-35T Turbopumped Leak Detector 1 as is where is
103383 Vero Electronics 116-010069H Monovolt PK120 Power Supply, +5V 20A Spares 30.04.2001 4 as is where is immediately
107010 Verteq 1600-34 Spin Rinse Dryer (Single Stack) 150 mm 01.03.1997 1 as is where is immediately
107028 Verteq 1600-34 Double Stack Spin Rinse Dryer 150 mm 01.03.1995 1 as is where is immediately
108973 Verteq Superclean 1600-55M Double Stack SRD (Set up for solar wafer use) 100 mm, M0, M2 01.02.1999 1 as is where is immediately
83826 VEXTA UPH569H-B 5 PHASE STEPPING MOTOR SPARES 1 as is where is immediately
108611 Vision Semicon VSP-88A(H) Oven 200 mm 01.05.2005 1 as is where is immediately
108612 Vision Semicon VSP-88H Oven 200 mm 01.05.2005 1 as is where is immediately
108613 Vision Semicon VSP-88H Oven 200 mm 01.05.2007 1 as is where is immediately
84233 Viton 43-2-131 O-ring seal, Roth & Rau Spares 1 as is where is immediately
106756 WAFER MASTERS SAO-302LP TSV Cu Anneal 300 mm 01.06.2000 1 as is where is
77171 WARNER LINEAR K2G20-24V-BR-10lsc LINEAR ACTUATOR , 24V DC Spares 01.11.2006 1 as is where is immediately
77164 Wasco SV129-31W3A/2065 Vacuum pressure switch 75 Torr Spares 1 as is where is immediately
108981 Watkins Johnston 903169-001 rev D WJ 952 MFC REGULATION PCB Spares 01.06.1994 1 as is where is immediately
77165 WATLOW DC10-40P0-0000 SOLID STATE POWER CONTROL, AMP: 55 AMPS, NEW Spares 1 as is where is immediately
82219 WAVECREST DTS-2070C (-52) Credence Duo Wavecrest digital time controller spares 31.05.1999 2 as is where is immediately
93087 Woollam VUV-VASE (Gen II) Ellipsometer 300 MM 1 as is where is immediately
94605 Xantrex XFR 40-70 NV8200P DC Power Supply Magnet SPARES 1 as is where is
106835 Yaskawa XU RC350D-C31+ERCR-RS09-A003 atmospheric wafer robot( DNS SU-3000) 300mm 1 inquire
106836 Yaskawa XU RC350D-C61+ERCR-RS10-C003 atmospheric wafer robot( DNS SU-3000) 300 mm 3 as is where is immediately
106837 Yaskawa XU RC350D-K01+ERCR-RS09-A003 atmospheric wafer robot( DNS SU-3100) 300mm 1 inquire
106838 Yaskawa XU RCM9205 with ERCR-NS01-A003 controller atmospheric wafer robot for KLA 300mm 1 inquire
106840 Yaskawa XU RSM53E0 with XU-CM6180 controller atmospheric wafer robot( Ebara Frex 300 CMP) 300mm 4 inquire
106979 YASKAWA ERCR-RS10-C003 CONTROLLER FOR DNS SU3000 ROBOT Robot 01.09.2006 3 as is where is immediately
109608 Yield Engineering YES-450PB6-2P Polyimide Bake Oven 1 inquire
109609 Yield Engineering YES-450PB Polyimide Bake Oven 1 inquire
109614 Yield Engineering YES-15 HMDS Vapor Prime Oven 1 inquire
109618 Yield Engineering LP#-M3 HMDS Vapor Prime Oven 150 mm 1 inquire
83864 Zeiss 990634-5100 Joystick, Carl Zeiss 2 as is where is immediately
83865 Zeiss 477473-0207 Cover plate 5 as is where is immediately
83866 Zeiss 475690-0206 Joint plate 6 as is where is immediately
71497 ZENITH ZPS-400 Multiple voltage power supply spares 1 as is where is immediately


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry