fabsurplus.com

List of 10 equipment available for sale at fabsurplus.com

The following are the items available for sale related to 10 at SDI fabsurplus.com. To inquire about the 10 equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of 10 items.



SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
80264 ACOPIAN B24G210 POWER SUPPLY spares 1 as is where is immediately
109289 AD-TEC AMV-1000-AA RF GENERATOR Spares 1 as is where is
109291 AD-TEC AX-1000Ⅲ-27M-LC-GT RF GENERATOR Spares 1 as is where is
4247 ADE 020986-10 259.82 uM thickness standard Spares 1 as is where is immediately
108791 ADE 5810 Non-Contact Capacitance Gauging Module with 2ea ADE 2248 Probes 1 inquire
108619 ADIXEN A101L Vacuum Pump PUMP 40 as is where is
109624 Adtec AX-100 MF Generator SPARES 1 inquire
109625 Adtec AX-100 MF Generator SPARES 1 inquire
109626 Adtec AX-100 MF Generator SPARES 1 inquire
109627 Adtec AX-100 MF Generator SPARES 1 inquire
109312 Advanced Energy NAVIGATOR-1013 RF GENERATOR Spares 1 as is where is
109313 Advanced Energy NAVIGATOR-1013 RF GENERATOR Spares 1 as is where is
109314 Advanced Energy NAVIGATOR-1013 RF GENERATOR Spares 2 as is where is
109315 Advanced Energy NAVIGATOR-1013 RF GENERATOR Spares 9 as is where is
109338 Advanced Energy PE-1000 RF GENERATOR Spares 1 as is where is
109655 Advanced Energy AZX 10 Match SPARES 1 as is where is immediately
107176 Advanced Energy Pinnacle 10k RF Generator Spares 1 as is where is
109304 Advanced Energy MDX-10K RF GENERATOR Spares 1 as is where is
109305 Advanced Energy MDX-10K RF GENERATOR Spares 2 as is where is
109306 Advanced Energy MDX-10K RF GENERATOR Spares 1 as is where is
109311 Advanced Energy NAVIGATOR-10013 RF GENERATOR Spares 1 as is where is
83500 Advantest BGR-021096 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
109892 AE (Advanced Energy) VM 1000 A Match SPARES 1 inquire
109653 AE (Advanced Energy) AZX 10 Match SPARES 1 inquire
109654 AE (Advanced Energy) AZX 10 Match SPARES 1 inquire
109656 AE (Advanced Energy) AZX 10 Controller Miscellaneous SPARES 1 inquire
109668 AE (Advanced Energy) Cesar 1310 Generator SPARES 1 inquire
109673 AE (Advanced Energy) Cesar 2710 Generator SPARES 1 inquire
109714 AE (Advanced Energy) MDX 10K Generator SPARES 1 inquire
109726 AE (Advanced Energy) MDX-10K Master Generator SPARES 1 inquire
109727 AE (Advanced Energy) MDX-10K Master Generator SPARES 1 inquire
109728 AE (Advanced Energy) MDX-10K Slave Generator SPARES 1 inquire
109739 AE (Advanced Energy) Mercury 10013 Match SPARES 1 inquire
109740 AE (Advanced Energy) Mercury 10013 Match SPARES 1 inquire
109741 AE (Advanced Energy) Mercury 10013 Match SPARES 1 inquire
109742 AE (Advanced Energy) Mercury 10013 Match SPARES 1 inquire
109747 AE (Advanced Energy) Navigator 10013-L80 Match SPARES 1 inquire
109773 AE (Advanced Energy) PE-1000 Generator SPARES 1 inquire
109779 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109780 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109781 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109782 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109783 AE (Advanced Energy) Pinnacle 10k Generator SPARES 1 inquire
109784 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109785 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109786 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109787 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109788 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109789 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109790 AE (Advanced Energy) Pinnacle 10K Generator SPARES 1 inquire
109818 AE (Advanced Energy) Pinnacle Plus 10k Generator SPARES 1 inquire
109819 AE (Advanced Energy) Pinnacle Plus 10k Generator SPARES 1 inquire
109820 AE (Advanced Energy) Pinnacle Plus 10k Generator SPARES 1 inquire
109821 AE (Advanced Energy) Pinnacle Plus 10k Generator SPARES 1 inquire
81826 AEG 2A 400-100 H Power Driver Controller 2A Spares 31.12.2004 1 as is where is immediately
108720 AG Associates Heatpulse 410 Rapid Thermal Processor 1 as is where is
108796 AG ASSOCIATES Heatpulse 410 Benchtop RTP Tool 1 inquire
108797 AIRCO TEMESCAL CV-8-210 E Beam Power Supply Controller, 3ea Available - Parts Only SPARES 1 inquire
108799 ALESSI REL-4100A Analytical Prober 1 inquire
86305 AMAT 0010-20422 Endura 5500 PVD 8" Shield treatment and cover assembly 1 as is where is immediately
108978 AMAT 0010-36736 Heater 1 as is where is immediately
110848 Applied Materials IT1011-N31-X4 SMC UPA Regulator (X4) - CMP HEAD Spares 5 inquire
110860 Applied Materials 0100-77040 Head Pneumatics board Titan - CMP HEAD Spares 5 inquire
110875 Applied Materials 1080-01207 Walking Beam Servo Motor x - CMP Cleaner Spares 5 inquire
110876 Applied Materials 1080-01207 Walking Beam Servo Motor y - CMP Cleaner Spares 5 inquire
110878 Applied Materials 0010-04118 Wafer Presence Sensor - CMP Cleaner Spares 5 inquire
110886 Applied Materials 1400-01056 Input Shuttle Limit Sensors - CMP Cleaner Spares 5 inquire
109095 Applied Materials 0010-10527 Polyimide ESC PEDESTAL, MxP-5200 Poly 8" Flat 200 mm 1 as is where is immediately
110893 Applied Materials 1400-01055 Photosensor Interrupter L Shape W/LED & Amp - CMP Cleaner Spares 5 inquire
110896 Applied Materials 0010-77582 Idler Assembly - CMP Cleaner Spares 5 inquire
110898 Applied Materials 0010-05398 zaytran assy dry and wet set - CMP Cleaner Spares 5 inquire
110899 Applied Materials 0010-77380 Lower Stopper Assembly - CMP Cleaner Spares 5 inquire
110900 Applied Materials 0010-77323 Brush gear assy - CMP Cleaner Spares 5 inquire
110906 Applied Materials 1010-01437 Quartz Infrared Halogen Lamp - CMP Cleaner Spares 5 inquire
110908 Applied Materials 0870-01038 200V 100W SGDA - CMP Cleaner Spares 5 inquire
110909 Applied Materials 0870-01040 200V 200W SGDA - CMP Cleaner Spares 5 inquire
110910 Applied Materials 0870-01039 200V 400W SGDA - CMP Cleaner Spares 5 inquire
110914 Applied Materials 0010-77682 Megasonics Lower Electronics - CMP Cleaner Spares 5 inquire
110915 Applied Materials 0010-77680 SRD Lower Electronics - CMP Cleaner Spares 5 inquire
110916 Applied Materials 0010-77681 System Lower Electronics - CMP Cleaner Spares 5 inquire
110924 Applied Materials 0100-13016 Assembly, PCB, Controller I/O Backplane PCB for MIRRA Controller - CMP controller Spares 5 inquire
110926 Applied Materials 1110-01058 Port Server -Digi EL16 with PS - CMP controller Spares 5 inquire
110928 Applied Materials 0100-09054 Applied Material AIO Board - CMP controller Spares 5 inquire
110929 Applied Materials 0100-20003 DIO board - CMP controller Spares 5 inquire
110930 Applied Materials 0100-77035 Robot X Track board - CMP controller Spares 5 inquire
110935 Applied Materials 0870-01080 Vexta Wet Robot Driver - CMP controller Spares 5 inquire
110943 Applied Materials 0110-77037 Controller Interlock Board 1 - CMP controller Spares 5 inquire
110944 Applied Materials 0110-77038 Controller Interlock Board 2 - CMP controller Spares 5 inquire
101768 Applied Materials 0010-00557 REV A Heat Exchanger FACILITIES 31.08.1988 1 as is where is immediately
18840 APPLIED MATERIALS 0230-00101 Precision etch 8300 1 as is where is
18844 APPLIED MATERIALS 026-110-0B1 PR 5000 Func desc. Practice exercici and basic prev. maintenance procedures 1 as is where is
110808 Applied Materials 0010-77153 Wet Robot Assy - CMP Polisher and Lower Mirra Spares 5 inquire
110809 Applied Materials 1080-01170 Platen Motor Assy - CMP Polisher and Lower Mirra Spares 5 inquire
106209 Applied Materials 0020-21089 SHIELD Spares 01.06.1999 1 as is where is immediately
110818 Applied Materials 0010-12344 Pad cond Assembly - CMP Polisher and Lower Mirra Spares 5 inquire
110821 Applied Materials 0010-77721 Wet Robot Blade - CMP Polisher and Lower Mirra Spares 5 inquire
110826 Applied Materials 0100-77069 ISRM Module - Full Scan (77069) - CMP Polisher and Lower Mirra Spares 5 inquire
110827 Applied Materials 0100-00845 laser diode mdl 390a-670-5 - CMP Polisher and Lower Mirra Spares 5 inquire
110828 Applied Materials 0100-77064 ISRM Module - Legacy (77064) - CMP Polisher and Lower Mirra Spares 5 inquire
106221 Applied Materials 3830-01018 REV LABEL LASERTAB MARKER WHT POLYEST LAM Spares 01.06.1999 2 as is where is immediately
110829 Applied Materials 0090-77110 ISRM Cable (to slip ring) - CMP Polisher and Lower Mirra Spares 5 inquire
106222 Applied Materials 3830-01034 REV LABEL LASERTAB MARKER WHT POLYEST LAM Spares 01.06.1999 1 as is where is immediately
110832 Applied Materials 0100-77017 Lower Pneumatic Board - CMP Polisher and Lower Mirra Spares 5 inquire
110833 Applied Materials 990-004765-107 Digital Pneutronics Board (Normally Open) - CMP Polisher and Lower Mirra Spares 5 inquire
110834 Applied Materials 990-004765-104 Digital Pneutronics Board (Normally Close) - CMP Polisher and Lower Mirra Spares 5 inquire
110835 Applied Materials MFC-8005-T2105-072-N-001 Chemical Malema Pump - CMP Polisher and Lower Mirra Spares 5 inquire
110836 Applied Materials MFC-8000-T2104-052-P-001 Slurry Malema Pump - CMP Polisher and Lower Mirra Spares 5 inquire
110845 Applied Materials 0760-01034 3-port, 4-port Rotary Union (0760-01034) - CMP HEAD Spares 5 inquire
110847 Applied Materials IT1001-N31-X6 SMC UPA Regulator (X6) - CMP HEAD Spares 5 inquire
105853 Applied Materials ® 0230-00103 Precision Etch 8300 Corrective Maintenance Rev 3 Spares 30.04.1990 2 as is where is immediately
6537 Applied Materials ® 026-105-03 C P5000 Mk II Functional description training manual Spares 01.04.1995 1 as is where is immediately
6538 Applied Materials ® 079-109-0D P5000 Mk II Advanced calibration proceedures manual Jan 1995 Spares 01.01.1995 1 as is where is immediately
6539 Applied Materials ® 079-102-0D P5000 Mk II Advanced preventive and corrective maintenance Apr 1996 Spares 01.04.1996 1 as is where is immediately
6540 Applied Materials ® 026-110-0B.1 P5000 Mk II Functional description , practice exercises and basic maintenance proceedures Spares 01.03.1996 1 as is where is immediately
77168 Asco SC8210G004 Solenoid Valve, 1 In, Orifice 1 In, Brass Spares 1 as is where is immediately
83571 Asco SC8210G93 Solenoid Valve, Spares 1 as is where is immediately
109363 ASE AMV-1000-27M-ASMGK-L RF GENERATOR Spares 6 as is where is
109364 ASE AMV-1000-27M-ASMGK-R RF GENERATOR Spares 6 as is where is
109365 ASE AMV-1000-SMT RF GENERATOR Spares 1 as is where is
109030 ASM AD810 Automatic Die Attach Tool Assembly 01.09.2017 1 as is where is immediately
110685 ASML Yieldstar S100 Overlay measurement System 300 mm 01.06.2008 1 as is where is immediately
108387 ASML YieldStar S-100B Overlay Measurement System 300 mm 1 as is where is
110703 ASML AT1100B Twinscan DUV Lithography exposure system 193 nm 200 mm and 300 mm 01.06.2001 1 as is where is immediately
109366 ASTECH ATL-100RA RF GENERATOR Spares 4 as is where is
109367 ASTECH ATL-100RA RF GENERATOR Spares 1 as is where is
109368 ASTECH ATL-100RA-03 RF GENERATOR Spares 4 as is where is
109943 Astech ATL-100RA Match SPARES 1 inquire
109944 Astech ATL-100RA Match SPARES 1 inquire
109945 Astech ATL-100RA Match SPARES 1 inquire
109946 Astech ATL-100RA Match SPARES 1 inquire
109947 Astech ATL-100RA/DT2L Match SPARES 1 inquire
109948 Astex AX2107 Generator SPARES 1 inquire
101818 August NSX-105 Wafer Bumping Inspection System / Macro defect inspection 200 mm 1 as is where is immediately
111226 AXCELIS 5001057 STDF 5/8LX3/8X6-32 CER 2601, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111230 AXCELIS 17147310 INSULATOR STANDOFF SOURCE, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
94592 Axcelis PN 1027-0093-0035 Beam Shutter DI 14 SPARES 1 as is where is
94600 Axcelis 1214110 EMS Power Supply 10 – 60 LAMBDA SPARES 1 as is where is immediately
111283 AXCELIS 17181020 SCREWS SHIELDS, GPH, CG - AXCELIS HE3 Spares 5 inquire
111288 AXCELIS 17118510 POLE SHORT ES QUAD, GPH, CG - AXCELIS HE3 Spares 5 inquire
108729 AXCELIS 110000800 Universal 300mm Disk Cart MAT # 4501422 2 as is where is
111290 AXCELIS 17270110 BLOCK (5) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111291 AXCELIS 17165510 APERTURE FILAMENT, GPH, CG - AXCELIS HE3 Spares 5 inquire
111297 AXCELIS 17270210 BLOCK (3) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111298 AXCELIS 17270100 BLOCK (4) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111311 AXCELIS 17293110 ADAPTER RING DISC FARADAY GRAPHITE SHIELD, GPH, CG - AXCELIS HE3 Spares 5 inquire
111327 AXCELIS 17270010 LINER (1) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111074 Axcelis 17170610 REPELLER STUD W ELS/IAS, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111086 Axcelis 17335310 CLAMP FILAMENT ETERNA ELS, TZM, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
77095 Bellows 10.01.06.00878 Bellows suction cup 9mm, pack of 12 1 as is where is
98718 Berger PSS10 Pulsed Solar Simulator Solar 31.05.2008 1 as is where is immediately
77154 Cam York ST-17-08-153-01-154-01-0-00-C010(1) Centrifual Blower Motor 1/8hp 220V 0.65A 30 RPM Spares 1 as is where is immediately
110623 Canon FPA-5510iX I line stepper 300 mm 1 as is where is
109091 Canon BG3-1045 REF-MEM (RT) PCB for Canon FPA 5000 ES2, 3 etc. Spares 1 as is where is immediately
53056 Canon BG9-4757, BH8--1069-01 AF DRV board for Canon FPA 1550 series spares 1 as is where is immediately
53057 Canon BG9-4760, BG83111, BH8-1071-02 SH/RH board for Canon FPA 1550 spares 30.06.1999 1 as is where is immediately
53058 Canon BG9-4761, BG8-3112, BH8-1071-01 SH/RH board FOR CANON FPA SERIES STEPPERS spares 30.06.1999 1 as is where is immediately
53059 Canon BH8-1073-01, BG9-4763, BG8-3114 PA SENSER board spares 01.06.1999 1 as is where is immediately
53060 Canon BG9-4764, BH8-1074-01 PA CCD board for Canon FPA series steppers spares 30.06.1999 1 as is where is immediately
53061 Canon BG9-4762, BG8-3113, BH8-1072-01 PA STAGE board for Canon 1550MarkIV series spares 30.06.1995 1 as is where is immediately
53062 Canon BG9-4758, BG8-3109, BH8-1070-02 SC/RC board for Canon FPA series steppers spares 30.06.1999 1 as is where is immediately
53063 Canon BG9-4759, BG8-3110, BH8-1070-02 SC/RC board for Canon fpa spares 30.06.1999 1 as is where is immediately
109579 Cascade Microtech Summit 11000M Prober 200 mm 1 as is where is immediately
108805 CHA SEC-1000 E-Beam Evaporator with CV-8 Power Supply 1 inquire
103731 CKPlas TS-MT510-J, TS-MT610-J Transfer Solar Wafer Cassette Solar 1 as is where is immediately
109373 COMDEL CLX-1000 RF GENERATOR Spares 1 as is where is immediately
109374 COMDEL CLX-10K RF GENERATOR Spares 28 as is where is
109375 COMDEL CLX-10K RF GENERATOR Spares 1 as is where is
109384 COMDEL CX-10K RF GENERATOR Spares 7 as is where is
110003 Comdel CDX-1000 Generator SPARES 1 inquire
110009 Comdel CPM 1000 Match SPARES 1 inquire
110010 Comdel CPM-1000/REMOTE Miscellaneous SPARES 1 inquire
110017 Comdel CPMX-1000 Match SPARES 1 inquire
110018 Comdel CPMX-1000 Match SPARES 1 inquire
110019 Comdel CPMX-1000 Match SPARES 1 inquire
110020 Comdel CPMX-1000 Match SPARES 1 inquire
110021 Comdel CPS 1000 Generator SPARES 1 inquire
110022 Comdel CPS 1000 Generator SPARES 1 inquire
110024 Comdel CV 1000 Generator SPARES 1 inquire
110025 Comdel CV 1000 Generator SPARES 1 inquire
110026 Comdel CV 1000 Generator SPARES 1 inquire
109386 COMET 101187 RF GENERATOR Spares 1 as is where is
109387 COMET 101187 RF GENERATOR Spares 4 as is where is
109388 COMET 101187 RF GENERATOR Spares 1 as is where is
13143 COSEL MMC100-2 POWER SUPPLY SPARES 2 as is where is immediately
83631 Credence 071-1022-01 Duo & Logic 100 Test System Service Manual MANUAL 01.01.1997 1 as is where is immediately
54562 CTI cryogenics CRYOTORR-100 Cryopump PUMP 31.05.1997 1 as is where is immediately
69855 CTI Cryogenics Cryotorr 100 Cryopump pump 31.05.1997 1 as is where is immediately
106647 CYBER OPTICS CYBERSCAN C212/110 Laser Measure 200 mm 1 as is where is
108808 DAGE 2400-WP-100 100g Wire Pull Load Cell SPARES 1 inquire
108810 DAGE 2400PC-WP10KG 10 Kg Wire Pull Load Cell for Dage 2400 SPARES 1 inquire
109409 DAIHEN NX-RGA-10G RF GENERATOR Spares 3 as is where is
108995 Despatch CDF 7210 (SPARES) 55 cm length of furnace belt spares 1 as is where is immediately
76954 Dimetric JGT-10F Relay 380V 80A spares 4 as is where is immediately
108398 Disco DFS8910 Surface Planarization 300 mm 1 as is where is
110984 DNS N4S010 CKD Spares 5 inquire
105872 DNS 2-39-06106 NISSHIN pressure gauge 0-0.5 kgf cm2 spares 1 as is where is immediately
106654 DNS SU3100 WET ETCH 300 mm 1 as is where is
18883 DNS 006 9105 D-SPIN 622 Service manual / part list 1 as is where is
98268 DNS SS-3100 WET Scrubber 300 mm 31.05.2012 1 inquire immediately
83876 DOMNICK HUNTER QR 010 REV.4.0 FILTER 30.09.2007 2 as is where is immediately
107440 Dressler VM 1000 A RF Match Spares 1 as is where is immediately
110061 Dressler VM 1000 A Match SPARES 1 inquire
110062 Dressler VM 1000 A Match SPARES 1 inquire
110063 Dressler VM 1000 A Match SPARES 1 inquire
110064 Dressler VM 1000 A Match SPARES 1 inquire
110065 Dressler VM 1000 A Match SPARES 1 inquire
110066 Dressler VM 1000 A Match SPARES 1 inquire
110067 Dressler VM 1000 A Match SPARES 1 inquire
110068 Dressler VM 1000 A Match SPARES 1 inquire
110069 Dressler VM 1000 A Match SPARES 1 inquire
105864 DWYER RMA-10-SSV AIR FLOWMETER,1/8 NPT CONN.,UP TO 100PSI Spares 1 as is where is immediately
106466 ECI QL-10-EX PLATING BATH ANALYSER Facilities 1 as is where is immediately
109572 EDWARDS iH1000 Mark 5 Dry vacuum Pump PUMP 50 as is where is immediately
108624 EDWARDS IPX100A Vacuum Pump PUMP 3 as is where is
108625 EDWARDS IPX100 Vacuum Pump PUMP 1 as is where is
108627 EDWARDS IH1000 Vacuum Pump PUMP 21 as is where is
108628 EDWARDS IGX100L Vacuum Pump PUMP 1 as is where is
108629 EDWARDS IGX1000N Vacuum Pump PUMP 1 as is where is
11233 EDWARDS GVI 100P Gate valve SPARES 1 as is where is immediately
69878 Edwards / Seiko Seiki STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40 VACUUM PUMP 01.10.1999 1 as is where is immediately
110080 ENI ACG-10B Generator SPARES 1 inquire
110081 ENI ACG-10B Generator SPARES 1 inquire
110082 ENI ACG-10B Generator SPARES 1 inquire
110083 ENI ACG-10B Generator SPARES 1 inquire
110084 ENI ACG-10B Generator SPARES 1 inquire
110085 ENI ACG-10B Generator SPARES 1 inquire
110086 ENI ACG-10XL Generator SPARES 1 inquire
107546 ENI MWH-100 RF Match Spares 1 as is where is immediately
110135 ENI MW-10 Match SPARES 1 inquire
110136 ENI MW-10 Match SPARES 1 inquire
110137 ENI MW-10 Match SPARES 1 inquire
110138 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110139 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110140 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110141 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110142 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110143 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110144 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110145 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110146 ENI MW-10 Controller Miscellaneous SPARES 1 inquire
110147 ENI MW-10D Match SPARES 1 inquire
110148 ENI MW-10D Match SPARES 1 inquire
110149 ENI MW-10D Controller Miscellaneous SPARES 1 inquire
110150 ENI MW-10D Controller Miscellaneous SPARES 1 inquire
110151 ENI MW-10T Match SPARES 1 inquire
110181 ENI MWH-100 Match SPARES 1 inquire
110182 ENI MWH-100 Match SPARES 1 inquire
110183 ENI MWH-100 Match SPARES 1 inquire
110184 ENI MWH-100 Match SPARES 1 inquire
110185 ENI MWH-100 Match SPARES 1 inquire
110186 ENI MWH-100 Match SPARES 1 inquire
110196 ENI OEM-10B Generator SPARES 1 inquire
109430 ENI MWH-100 RF GENERATOR Spares 1 as is where is
109431 ENI MWH-100 RF GENERATOR Spares 1 as is where is
109433 ENI SPECTRUM 11002-00 RF GENERATOR Spares 1 as is where is
110297 ENI UTF-10 Miscellaneous SPARES 1 inquire
110075 ENI ACG-10 Generator SPARES 1 inquire
110076 ENI ACG-10 Generator SPARES 1 inquire
110077 ENI ACG-10 Generator SPARES 1 inquire
110078 ENI ACG-10B Generator SPARES 1 inquire
110079 ENI ACG-10B Generator SPARES 1 inquire
108959 Entegris PH9100 Wafer Transportation Box 4" SPARES 100 MM 29 as is where is immediately
108065 ESPEC STPH-101 OVEN OVEN 1 as is where is
109581 EVG 101 Photoresist coater and developer 200 mm 01.05.2008 1 as is where is immediately
109596 EVG 101 Photoresist Spray Coater 150 mm 1 inquire
95576 FSI Polaris 3500 (Spares) VT106 10 INCH VGA MONOCHROME MONITOR Spares 2 as is where is immediately
95577 FSI Polaris 3500 (Spares) IBM 8228 P/N 6091014 Multistation Access Unit Spares 2 as is where is immediately
18849 FSI 906498-100 FSI CHEM FILL Dec scotland system manual and print 1 as is where is
18851 FSI 907575-100 Mercury MP spray processing system operation and maintenance manual manual 01.05.1994 1 as is where is immediately
18854 FSI 906496-100 Bit bus node installation system requirement and guidelines 1 as is where is
18861 FSI 906488-100 Model 1000 CDM operations and maintenance manual 1 as is where is
18862 FSI 916197-100 Model 1000 CDM ( ce-marked with PPS ) operations and maintenance manual 1 as is where is
27830 FSI 906496-100 Manual 1
27874 FSI 907051-100 Excalibur ISR Operation and Maintenance Manual 1
83616 FSP GROUP INC 100-240 W POWER SUPPLY for a p.c. 10A 50-60 Hz 01.01.2008 10 as is where is immediately
106475 Furukawa UVW-102M FURUKAWA UV-102 SEMI AUTO UV CURE SYSTEM N/A 1 as is where is
83903 GACHOT DN 15-10 STAINLSS STEEL BALL VALVE Spares 2 as is where is immediately
98464 Genmark GB8-MT-80050102 Single arm Atmospheric wafer handling robot 200 mm 1 as is where is immediately
94601 Group 3 1981001 Digital Teslameter SPARES 1 as is where is
71907 Hamamatsu C7103 PC Controlled IC Back-side Lapping and Wafer Grinding System 200 mm and packages 30.09.2001 1 as is where is immediately
109568 Hitachi CG-4100 Critical Dimension (CD) Measurement SEM 300 mm 01.06.2012 1 as is where is immediately
111394 Hitachi 566-5510 HV LENS PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
106662 HITACHI FB2100 FIB 200 mm 01.06.2003 1 as is where is
109287 Hitachi SU 8010 Ultra High Resolution Field Emission Scanning Electron Microscope 100 mm 01.06.2007 1 as is where is immediately
77156 HTC ISO1010OCRVSA Pump flange PN 192112412 Spares 1 as is where is immediately
84238 IGUS 07.10.038.0 Belt Spares 1 as is where is immediately
109185 JEOL JEM-2010F TEM Laboratory 1 as is where is
84552 JSC Systems 510 Controller spares 1 as is where is immediately
98469 Kawasaki NS410B-A002 atmospheric wafer robot( AMAT producer) 300mm 1 as is where is immediately
86304 KLA 1007 Chuck, prober, 6" gold chuck assembly 200 mm 1 inquire immediately
84301 KLA 710-658036-20 Alignment Processor board REV C3 Spares 1 as is where is immediately
84302 KLA 710-658041-20 Alignment PRocessor Phase 3 Board REV E0 Spares 1 as is where is immediately
84303 KLA 710-658046-20 PRocessor Board REV E0 Spares 1 as is where is immediately
84304 KLA 710-658177-20 Interpolator phase 3 Board REV F1 Spares 2 as is where is immediately
84305 KLA 710-658172-20 Y Interpolator C,PH3 Board REV J1 Spares 2 as is where is immediately
84306 KLA 710-655651-20 Cornerturn 3 PC board REV C0 Spares 1 as is where is immediately
106066 KLA 710-029946-00 Rev:XE Corrector-Formatter PCB M2A only spares 01.06.1990 1 as is where is immediately
84307 KLA 710-659412-00 Mass Memory PCB REV C0 Spares 1 as is where is immediately
84308 KLA 710-658232-20 Memory Controller Phase 3 PC board REV H1 Spares 1 as is where is immediately
84309 KLA 710-658086-20 PC Board, REV E0 Spares 1 as is where is immediately
106080 KLA 710-023236-00 Rev B1 P3 Scan delay FIR Filter PCB Spares 1 as is where is immediately
106081 KLA 710-022410-01 Rev A5 AR GRAPHICS DISPLAY CONTROLLER 2 PCB Spares 1 as is where is immediately
106082 KLA 710-029421-00 Rev C2 SERVO DRIVE 2 III PCB Spares 1 as is where is immediately
106083 KLA 710-023589-00 Rev B2 SERVO DRIVE 1 III PCB Spares 1 as is where is immediately
106084 KLA 710-101836-02 Rev G3 AUTOFOCUS 2 PCB Spares 1 as is where is immediately
106106 KLA 710-022400-01 D5 Graphic Display Controller 1 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106107 KLA 710-023602-00 B Display System Trap, RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106108 KLA 710-023256-00 C2 P3 Data Input PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106109 KLA 710-023141-00 Assy, Formatter p3 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31614 KLA 8100 PICOAMP 11 P/N 720-02964-000 2
106110 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 01.06.1991 1 as is where is immediately
31615 KLA 8100 (Spares) wafer tabel for CD SEM P/N 731-09404-047 Rev 2 spares 1 as is where is immediately
106111 KLA 710-028014-01 E ADBA PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31616 KLA 8100 Plate Wafer P/N 731-08507-004 31.12.2000 2
106112 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106113 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31618 KLA 8100 Block, Pivot ,Keybd P/N 740-03389-000 10
106114 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31619 KLA 8100 Bracket retainer keybd P/N 740-03390-000 10
106115 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31620 KLA 8100 Interface cable set P/N 810-09072-002 REV A Spares 2 as is where is immediately
106116 KLA 710-039524-00 A AP 1 210 series PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31621 KLA 8100 MCA Module P/N 720-02847-000 1
106117 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
108421 KLA eDR-5210 SEM - Defect Review (DR) 300 mm 4 as is where is
31622 KLA 8100 P/N 740-05635-000 REV A 2
106118 KLA 710-028014-00 B3 Image Memory Address PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31623 KLA 8100 T Piece P/N 471-07945-000 1
106119 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31624 KLA 8100 Ground Strap P/N 810-04308-005 12
106120 KLA 710-037887-01 B BMMC M2A with RIA signal mod. PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31625 KLA 8100 Ground Strap P/N 810-04308-004 10
106121 KLA 710-029767-00 REV D UPLL RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31626 KLA 8100 Bracket P/N 740-07893-000 1
106122 KLA 710-036420-00 XB Defect Concatenator 4 PCB -IAS compatible-newest version PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31627 KLA 8100 P/N 740-05728-000 2
106123 KLA 710-036380-00 C IAS parameter 2 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31628 KLA 8100 P/N 740-07892-000 Rev A 2
106124 KLA 710-023279-00 H2 04/16 level dump PCB for KLA 2xx reticle inspection system Spares 01.09.1991 1 as is where is immediately
31629 KLA 8100 Flex Pipe 1
106125 KLA 710-040042-00 XB e-series detector, threshold 2x2 259 M2A PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31630 KLA 8100 PCB 830-10172-000 Rev 3 1
106126 KLA 710-023455-00 XC e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31631 KLA 8100 Bracket P/N 740-05415-000 Rev A 1
106127 KLA 710-029694-00 XF e-series detector,data 259 ROQ PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31632 KLA 8100 Festo PU-3 Duo air Pipe 1
106128 KLA 710-023455-00 XC e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
31633 KLA 8100 Plastic Disc 1
106129 KLA 710-039924-00 A1 SERVO DRIVE 3 IV PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106130 KLA 710-028287-01 G1 servo 4 II PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106131 KLA 710-023599-01 B1 Computer IF (RF) PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106132 KLA 710-037718-00 C SBC 3.5 inch floppy PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106133 KLA 710-023273-00 C 512 K ram, 210 series PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106134 KLA 710-037717-00 A FDD controller, 3.5 inch PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106135 KLA 710-029924-00 REV F Preprocessor 1 (RF) PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106136 KLA 710-029927-00 REV E Preprocessor 2 (RF) PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106137 KLA 710-037889-00 Rev XA Preprocessor I/O PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106138 KLA 710-036106-00 REV D Defect Highlighting Control 3 PCB for KLA 2xx reticle inspection system Spares 01.09.1992 1 as is where is immediately
27803 KLA 8100 KLA 8100 SEM Part 740-03565-000 5
106140 KLA 710-037699-00 XA1 gain/offset testpoint board PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106141 KLA 710-102570-02 Rev 1 Autofocus Pre-Amplifier PCB PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106142 KLA 210e and 259 (Spares) Encoders, 2500 LPI for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106146 KLA 740-210171-00 ORH Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system spares 01.05.1992 1 as is where is immediately
108989 KLA 710-661729-00 CD0 S.A.T. RANDOM DEFECT PROCESSOR PCB FOR KLA 21XX Spares 01.08.1996 1 as is where is immediately
4288 KLA 710-101836-02 REV D AUTOFOCUS II POWER AMP Spares 1 as is where is
4289 KLA 710-102570-02 AUTOFOCUS PRE-AMPLIFIER Spares 2 as is where is
1736 KLA 710-101836-02 AUTOFOCUS 2 HV PCB for KLA 2xx reticle inspection system SPARES 01.01.1985 1 as is where is immediately
106191 KLA 900-01003-120 Assy. Software System 8xxx V3.1.2 Spares 01.06.2000 1 as is where is immediately
84216 KLA 740-100360-00 ORH Mask Holder for 250 Mil x 6 inch masks for KLA 2xx reticle inspection system Spares 01.05.1992 1 as is where is immediately
84218 KLA 740-100059-00 GWH Glass Wafer Holder, 250-150 mm P1, for KLA 2xx reticle inspection system Spares 01.05.1992 1 as is where is immediately
86672 KLA Tencor 710-661729-00 PC Board, KLA 21XX Spares 1 as is where is immediately
83624 KLA -Tencor 8100 (Spares) load lock assembly for CD-SEM SPARES 01.06.1998 1 as is where is immediately
83555 KLA TENCOR 720-05721000 CONTROL CHASSIS FOR KLA 8100 Column Control Chassis 2 as is where is immediately
31612 KLA TENCOR 8100 PART 740 05584 000 C CONNECTOR INTERFACE 3 as is where is
76358 KLA-Tencor 710-039524-01, rev C Alignment Processor 2 , 210e series PCB Spares 1 as is where is immediately
84054 KLA-TENCOR 8100 (Spares) Motorized slit assembly for CD SEM Spares 2 as is where is immediately
31613 KLA-Tencor 8100 (Spares) Bracked Lift Keyboard KLA Part 740-03393-000 spares 5 as is where is immediately
110727 KLA-Tencor UV1080 Thin film measurement system 150-200 mm 01.06.2000 1 as is where is immediately
74643 KLA-Tencor 710-013838-00 Rev L PCB Universal Video Mux for KLA 2xx reticle inspection systems spares 01.06.1993 1 as is where is immediately
83621 KLA-Tencor 8100 (Spares) Loadlock assembly for CD-SEM 0,4-0,7 MPa 31.05.1998 3 as is where is immediately
83622 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM SWAGELOK 152086 01.06.2000 1 as is where is immediately
83623 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM spares 01.06.2000 1 as is where is immediately
18599 KLA-Tencor 710-401249-01 Rev F DRIVER BOARD for KLA 5xxx SPARES 31.05.1992 1 as is where is immediately
18600 KLA-Tencor 710-401249-01 Rev F Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18603 KLA-Tencor 710-401536-00 FOR kla 5XXX ASSY NO 401536 00 ENCODER INTERFACE Spares 31.05.1992 1 as is where is immediately
18604 KLA-Tencor 710-401249-00 REV E for kla 5xxx Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18605 KLA-Tencor 710-404146-00 REV A for KLA 5XXX ASSY BOARD FOR KLA 5XXX Spares 31.05.1992 1 as is where is immediately
83630 KLA-TENCOR 8100 (Spares) Load-lock assembly for CD SEM spares 01.12.2000 1 as is where is immediately
18609 KLA-Tencor 710-400412-00 Rev K PCB for a KLA 5xxx system sp 31.05.1992 1 as is where is immediately
18873 KLA-TENCOR 990-039410-00 210 e-series theory 1 as is where is
18635 KLA-Tencor 851391-101 LH RESEARCH REV.B 01.06.1992 1 as is where is
84217 KLA-TENCOR 740-210171-00 Mask Holder for 5 inch x 0.090 thickness masks, with compensation glass, KLA 2XX spares 01.05.1992 1 as is where is immediately
84219 KLA-TENCOR 740-210171-00 Rev C OHR Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system spares 01.05.1992 1 as is where is immediately
83873 KOGANEI BDAS10X30 SPARES 2 as is where is immediately
84773 KOYO LINBERG VF5100B Set of Cleanroom Manuals spares 31.05.1998 1 as is where is immediately
84229 Kurt J Lesker ISO100AVCRT Pump centering ring Spares 2 as is where is immediately
109441 LAM 832-038915-103 RF GENERATOR Spares 1 as is where is
105862 Lam Research 853-017410-003 REV A Assy. cable.LWR match,Pk det. Spares 30.11.2000 5 as is where is immediately
110998 Lam Research 857-073710-002 KIT,SHIM,CLAMP - FLEX45/DS/DX Spares 5 inquire
110999 Lam Research 857-027108-001 KIT,CHOKE RING - FLEX45/DS/DX Spares 5 inquire
111000 Lam Research 857-027108-100 KIT,CHOKE RING,TCP TO TP FLD SERIES - FLEX ES/EX+ Spares 5 inquire
84377 Lam Research 810-06526-000 ASSY.CBL.EF CONTROL.SNIPER Spares 31.05.2001 1 as is where is immediately
111001 Lam Research 857-120208-010 KIT GSKT THRM TP TO CHOKE - FLEX FX Spares 5 inquire
111004 Lam Research 857-120210-008 KIT GSKT THRM HTR TO BP - FLEX FX Spares 5 inquire immediately
111005 Lam Research 857-120210-302 KIT GSKT THRM CHOKE - FLEX HX Spares 5 inquire immediately
111009 Lam Research 713-073439-010 RING, HER, FLEX45 - FLEX45 Spares 5 inquire immediately
111010 Lam Research 410-01754-026-RW KIT, THERMAL SHIM, CIP1 - CIP1 Spares 5 inquire immediately
111020 Lam Research 719-078253-103 PKG, GASKET, GEL, CER, WIDE - FLEX FXP Spares 5 inquire immediately
18908 LAM RESEARCH 406-240101-001 TCP 9400 SE installations and start up 1 as is where is
18909 LAM RESEARCH 407-240100-001 TCP 9400 illustrated part identifier 1 as is where is
18912 LAM RESEARCH 406-240101-005 TCP 9400 SE maintenance classic 1 as is where is
18915 LAM RESEARCH 406-240100-005 TCP 9400 maintenance procedure 1 as is where is
18916 LAM RESEARCH 406-240101-008 Drawings and schematics Vol3 1 as is where is
18917 LAM RESEARCH 406-240101-006 Drawings and schematics Vol3 2 as is where is
18918 LAM RESEARCH 406-240101-005 Drawings and schematics Vol1 1 as is where is
18919 LAM RESEARCH 406-240101-007 Drawings and schematics Vol2 2 as is where is
18928 LAM RESEARCH 406-240122-010 Drawing and schematics Vol5 1 as is where is
13044 Lambda CA1000 Alpha 1000W CA1000 Power Supply Spares 01.01.2004 1 as is where is immediately
108171 LEICA INM100 Microscope 1 as is where is
108172 LEICA INM100 Microscope 1 as is where is
108173 LEICA INM100 Microscope 1 as is where is
106241 LEYBOLD ISO-K 100 Large ISO-K Vacuum Bellows and 90 Degree Elbow Spares 1 as is where is immediately
33542 Liebherr FKV 3610 Fridge for the safe storage of photoresist facilities 1 as is where is immediately
110741 March AP-1000 Plasma Cleaning System Assembly 01.06.2000 2 as is where is immediately
18848 MATTSON 299-31000-00 Aspen strip system manual 6 as is where is
98477 MECS UTX 1100 atmospheric wafer handling robot(ASM eagle-10) 200 mm 2 as is where is immediately
108846 MICROAUTOMATION M-1100 Wafer Dicing Saw, for up to 6" Wafers 150 MM 1 inquire
108847 MICRONICS JAPAN CO. MP-10 Manual Probe Station with B&L StereoZoom 7 Microscope & 2ea Micropositioners 1 inquire
83523 MITSUBISHI MR-J10A1 AC SERVO POWER 100 W 1
83638 MITSUBISHI Melservo MR-C10A1-UE AC SERVO AMPLIFIER 100-120 V 31.03.2005 1 as is where is immediately
110341 MKS DCG 100E OPTIMA Generator SPARES 1 inquire
110346 MKS MWJ-1013 Match SPARES 1 inquire
108849 MKS NW100 Two Stage Heated Vacuum Valve, HPS DIV Jalapeno 45 Series Heater Cover SPARES 1 inquire
109483 MKS MWH-100-01M6 RF GENERATOR Spares 1 as is where is
109484 MKS MWH-100-01M6 RF GENERATOR Spares 1 as is where is
74164 MKS 154-0100P High Vacuum Valve, NEW spares 31.12.2005 1 as is where is immediately
109493 MKS OEM25A-21091-51 RF GENERATOR Spares 3 as is where is
84235 Moeller Xpole PLSM-C10/1 mini breaker switch Spares 1 as is where is immediately
18877 MOSAID 1000242-01 MS3400 test system reference manual 1 as is where is
106242 Muegge MX4000D-110LL MICROWAVE POWER SUPPLY SPARES 01.02.2002 8 as is where is immediately
77936 Muegge MX4000D-110LL Microwave Power Supply 3 as is where is immediately
106497 Muhlbauer DS 10000 DSD HIGH SPEED TAPE AND REEL SYSTEM ASSEMBLY 01.06.2006 1 as is where is immediately
106498 Muhlbauer DS 10000 Muhlbauer DS10000 150 mm/200 mm 1 as is where is
109113 Nanometrics LynX 9010T Optical CD Measurement (Scatterometry) system 300 mm 01.09.2008 1 as is where is immediately
106823 Nanometrics Nanospec 9100 Oxide film thickness measurement (PC missing) 200 mm 1 as is where is immediately
83887 NEC C1OT 6D TA 0100 THERMOSTAT 01.06.2004 1 as is where is immediately
94594 Neslab 1033-0017-001 Chiller CHILLER 1 as is where is
74168 Neslab RTE-110 Benchtop Laboratory chiller Spares 01.06.1995 1 as is where is immediately
108187 NICOLET Magna 410 ft-ir FTIR Spectrophotometer Laboratory 01.06.1996 1 as is where is
109536 Nikon NSR-SF100 i-line scan-field Stepper 200 mm 01.06.2003 1 as is all rebuilt immediately
111106 Nissin 17107405-A Beam Dump Tile - 3000 3000(W) Spares 5 inquire
111234 NISSIN EE10918-0 Aperture - 3000 3000(W) Spares 5 inquire
111235 NISSIN SOK30-2109 I/S Suppression Cable - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
32214 Nitto 44941001 TAPE SPOOL PARTS 2 as is where is immediately
108033 NOVA NOVASCAN 3090 P/N 390-10000-11 CMP metrology system 300 mm 1 as is where is immediately
90146 NOVELLUS GAMMA 2100 asher / PR STRIP 30.11.2002 1 as is where is immediately
109505 NPP NPM-10KCSM RF GENERATOR Spares 4 as is where is
109506 NPP NPM-10KCSMD RF GENERATOR Spares 3 as is where is
103385 Nuclear Elettronica 314E.100 REV A Power Supply, 5V/20A Spares 10 as is where is immediately
83917 NUPRO 107 1 as is where is immediately
109058 Olympus AL110N-LMB6 Microscope autoloader only (Not including the microscope) 100 MM AND 150 mm 1 as is where is immediately
111401 Olympus Highlight 2100 Cold light source with ring light Assembly 1 as is where is immediately
108857 OLYMPUS AL100-L8 Wafer Loader, 200mm, Parts Tool 200 MM 1 inquire
106825 Olympus AL110-LMB6 with MX51 wafer loader with Microscope 150mm 1 as is where is immediately
110696 Olympus AL100-L6 Wafer autoloader 100MM TO 150 MM 1 as is where is immediately
110697 Olympus AL100-LB6 Wafer autoloader 100MM TO 150 MM 2 as is where is immediately
83835 Olympus WHK 10X/20L-H EYEPIECES FOR TRINOCULAR MICROSCOPE Spares 2 as is where is immediately
109035 Olympus AL110N-LMB6 Wafer Inspection Microscope with autoloader 100 MM AND 150 mm 1 as is where is immediately
109233 ONTO NSX 105 Macro-Defect 200mm 1 as is where is
83807 ORIENTAL MOTOR PB204-101 2 as is where is immediately
109595 Oxford NGP 1000 PECVD 150 mm 01.06.2012 1 inquire
109097 Oxford Plasmalab System 100 PECVD TEOS with Load Lock 200 mm 01.05.2001 1 as is where is immediately
111358 OXFORD Plasmalab 100 ICP Etcher 1 as is where is immediately
111373 Oxford Plasmalab 100 PECVD Etcher Asher 1 as is where is immediately
80259 PALL GLF6101VF4 GASKLEEN GAS FILTER 6 as is where is immediately
83908 PALL GLF6101VF4 GAS FILTER 1 as is where is immediately
109516 PEARL RP-3000-100MK-PS RF GENERATOR Spares 13 as is where is
109518 PEARL ZDK-926-100M RF GENERATOR Spares 13 as is where is
109077 Picosun P1000 High Volume ALD system for batch coating of parts Up to 300 mm 01.06.2020 1 as is where is immediately
109519 PLASMART PF05100-3B36S RF GENERATOR Spares 6 as is where is
109520 PLASMART PF05100-3B36S RF GENERATOR Spares 1 as is where is
83615 PMS MICRO LPC-210 MICROLASER PARTICLE COUNTER MALVERN WR 13 LN 31.01.1989 1 as is where is immediately
83565 Power Launch (?) FU100F Valve tube electron tube 01.01.2009 2 as is where is immediately
108329 ReVera RVX1000 Film Thickness Measurement System 300 mm 1 as is where is immediately
108480 ReVera RVX1000 Film Thickness Measurement System 1 as is where is
110369 RF Services RFS 1000 Match SPARES 1 inquire
110370 RF Services RFS 1000 Match SPARES 1 inquire
110371 RF Services RFS 1000 Match SPARES 1 inquire
110372 RF Services RFS 1000 Match SPARES 1 inquire
110373 RF Services RFS 1000 Match SPARES 1 inquire
110374 RF Services RFS 1000 Match SPARES 1 inquire
110375 RF Services RFS 1000 Match SPARES 1 inquire
110376 RF Services RFS 1000 Match SPARES 1 inquire
110377 RF Services RFS 1000 Match SPARES 1 inquire
110378 RF Services RFS 1000 Match SPARES 1 inquire
110379 RF Services RFS 1000 Match SPARES 1 inquire
110380 RF Services RFS 1000 Match SPARES 1 inquire
110381 RF Services RFS 1000M Match SPARES 1 inquire
110401 RFPP AM-10 Match SPARES 1 inquire
110402 RFPP AM-10 Match SPARES 1 inquire
110403 RFPP AM-10 Match SPARES 1 inquire
110404 RFPP AM-10 Match SPARES 1 inquire
110405 RFPP AM-10 Match SPARES 1 inquire
110406 RFPP AM-10 Match SPARES 1 inquire
110407 RFPP AM-10 Match SPARES 1 inquire
110408 RFPP AM-10 Match SPARES 1 inquire
110409 RFPP AM-10 Match SPARES 1 inquire
110410 RFPP AM-10 Match SPARES 1 inquire
110411 RFPP AM-10 Match SPARES 1 inquire
110412 RFPP AM-10 Controller Miscellaneous SPARES 1 inquire
109522 RFPP 7600001010 RF GENERATOR Spares 1 as is where is
109523 RFPP 751031301F RF GENERATOR Spares 2 as is where is immediately
107768 RFPP AM-10 RF Match Spares 1 as is where is immediately
110460 RFVII ATN-10 Match SPARES 1 inquire
98731 Rofin PowerLine D-100 (RSM, Sx) Fiber Laser for solar cell edge isolation Solar 01.06.2008 1 as is where is immediately
106985 Rofin Powerline L100 SHG YAG laser Ablation system 532 nm Solar 01.06.2014 1 as is where is immediately
81835 Rosemont Analytical 1055-01-11-22 PH Monitor Solucomp II 1 as is where is immediately
108872 ROYCE INSTRUMENTS System 550 100K Die Shear/Bond Pull Tester with RPTM 50g Wire Pull Load Cell, ASTM-200g, ASTM 2K, STM 20K & STM 100K Shear Test Load Cells, Collection of Workholders SPARES 1 inquire
106871 RUDOLPH NSX 105 Automated Wafer, Die and Bump Inspection System 300 mm 01.06.2008 1 as is where is immediately
106422 Rudolph NSX-105 Automated Defect Inspection 150 mm/200 mm 1 as is where is immediately
108485 Rudolph NSX 105 Macro-Defect 300 mm 8 as is where is
106720 RUDOLPH NSX 105 MACRO DEFECT INSPECTION SYSTEM 300 mm 01.06.2008 1 as is where is immediately
83836 SCFH AIR 100 PSIG 1 as is where is immediately
107012 SEC Semiconductor Equipment Corp. 3100 Manual wafer taper 150 mm 01.07.2002 2 as is where is immediately
71921 Seiko Seiki SCU-1000C Controller for Seiko Seiki STP 1000C Turbo pump spares 01.10.1999 1 as is where is immediately
108974 Semitool PSC 101 Double Stack SRD (Set up for solar wafer use) 100 mm, M0, M2 01.02.1999 1 as is where is immediately
109074 Sensofar PLu Neox Optical 3D Surface Profiler with motorized XY stage, 4 Lens (10X, 20X, 50X, 100X), anti vibration table Optical 3D Surface Profiler 01.06.2012 1 as is where is
108596 SHINKAWA UTC-1000 SUPER Wire Bonder Assembly 01.05.2007 21 as is where is immediately
77152 SMC RL17858 1030567 0.8MPS RODLESS PNEUMATIC CYLINDER *NEW* 01.01.2008 1 as is where is immediately
77157 SMC ZX1101-K15LZB-D21L-X121 Vacuum GENERATOR ZXF35 Spares 1 as is where is immediately
83840 SMC ECQ2B32-10DC ACTUATOR, 32MM CQ2 DOUBLE-ACTING Spares 3 as is where is immediately
109590 Solitec 5100 Manual Spin Coater 150 mm 1 inquire
73208 Solitec 5110C Manually loading Photoresist Spin Coater 3 to 9 inch 01.09.1998 1 as is where is immediately
111384 SONIX HS-1000 Scanning Acoustic Microscope Laboratory 01.06.2010 1 as is where is immediately
110511 SPTS AS310777.04 Match SPARES 1 inquire
108984 SVG Thermco 606210-01 REV 4 S4 CLPB MOTION CONTROL INTERFACE PCB Spares 01.08.2001 1 immediately
106943 SVG Thermco 606210-01 REV 4 S4 CLPB MOTION CONTROL I/F PCB Spares 01.06.2001 1 as is where is immediately
106743 SVS MSX1000 Auto Track 200 mm 1 as is where is
33413 SYNAX SX3100 Fully Automated test Handler, ambient and hot configured. TEST 01.05.2006 1 as is all rebuilt immediately
33414 SYNAX SX3100 Fully Automated test Handler, ambient and hot configured. test 01.05.2006 1 as is all rebuilt immediately
106544 Takatori ATM-1100E Film Laminator - Taping Machine 150 mm/200 mm 01.06.1995 1 as is where is immediately
83575 TENCOR INSTRUMENTS AC 100V POWER SW AC 100 V 01.04.1996 1 as is where is
83576 TENCOR INSTRUMENTS AC 100-120 V POWER SW AC 100-120 V 01.11.1995 1 as is where is immediately
84840 Teradyne 880-751-10 /E Precision Measurement unit PCB, REV E 1 as is where is immediately
80321 Teradyne 880-751-10 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 61720 9521 1 as is where is immediately
70299 Texwipe 810-39234 BRUSH, ELONGATED CORE EBARA (TEXWIPE) SPARES 3 as is where is immediately
91569 Thermo Fisher ECO 1000 FTIR System 200 mm 01.05.2000 1 as is where is immediately
83641 TOKYO ELECTRON 1D10-317R09-12 PLATE,GALDEN FLOW CHECKER SPARES 1 as is where is immediately
110550 Trazar AMU10A-1 Match SPARES 1 inquire
109527 TRAZAR AMU10E-2 RF GENERATOR Spares 2 as is where is
110551 Trazar AMU10B-1 Match SPARES 1 inquire
110552 Trazar AMU10B-1 Match SPARES 1 inquire
110553 Trazar AMU10D-4 Match SPARES 1 inquire
110554 Trazar AMU10D-4 Match SPARES 1 inquire
110555 Trazar AMU10G-1 Match SPARES 1 inquire
110578 Trazar (Phoenix) AMU10A-1S Match SPARES 1 inquire
110579 Trazar (Phoenix) AMU10B-1 Match SPARES 1 inquire
110580 Trazar (Phoenix) AMU10B-1 Match SPARES 1 inquire
108305 Trumpf HL 101 P CNC laser cutter 01.06.2010 1 as is where is
108306 Trumpf HL 101 P CNC laser cutter 01.06.2009 1 as is where is
108307 Trumpf HL 101 P CNC laser cutter 01.06.1996 1 as is where is
108308 Trumpf HL101P CNC laser cutter 01.06.1997 1 as is where is
110584 Trumpf TruPlasma RF1003 Generator SPARES 1 inquire
110585 Trumpf TruPlasma RF1003 Generator SPARES 1 inquire
106557 Ultron UH108 Ultron Tabletop Laminator 150 mm/200 mm 01.06.2005 1 as is where is immediately
108903 Ulvac Ceraus ZX-1000 PVD 200 MM 01.05.1996 1 as is where is immediately
108913 Ulvac Ceraus ZX-1000 PVD Cluster tool 200 MM 01.05.1996 1 as is where is immediately
108914 Ulvac Ceraus ZX-1000 PVD 200 MM 01.05.1995 1 as is where is immediately
108915 Ulvac Ceraus ZX-1000 PVD Cluster tool 200 MM 01.05.2000 1 as is where is immediately
84078 UNIPHASE 1103P-0187 HE NE Laser Spares 31.12.1994 1 as is where is immediately
111112 Varian E17544910 Liner, Exit, Side 2 Spares 5 inquire
111139 Varian E17295210 SCREW SHCS 4-40, MO, HIGH PURITY - VISTA HP HC HCS EHP XE Spares 5 inquire
111142 Varian E17299310 C-CLAMP, PFG, SUS, HIGH PURITY - HCS Spares 5 inquire
111144 Varian E17292510 NUTPLATE BARCLAMP IHC SOURCE, MO, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
92468 Varian E11040440 Rev 7 Secondary workstation for implanter Spares 01.07.1995 1 as is where is immediately
111167 Varian E17289910 REPELLER ASSY IHC SOURCE VER. 2, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111175 Varian E17220310 END CAP IHC SOURCE VIISTA810 HP EHP, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111186 Varian WW2091030-23 PH3 SOURCE SPECIES CATHODE PM KITS, W, HIGH PURITY - XER/EHP Spares 5 inquire
111188 Varian E17441100R4-1 APERTURE, BACK PLATE MAIN, IHC, 3IN1 HCS EXTENDED 3MM THICK W, HIGH PURITY - VIISTA HCS Spares 5 inquire
111193 Varian E17335810 APERTURE INSERT IHC 300MM VIISTA HC, HIGH PURITY - HC Spares 5 inquire
111198 Varian E17441100R4 APERTURE, INSERT, IHC, 3IN1 HCS 3MM THICK W, HIGH PURITY - VIISTA HCS Spares 5 inquire
111199 Varian E17302110 BAR CATHODE AND REPELLER CLAMP LONG V810, MO, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111200 Varian E17284350R10 BAR CLAMP CATHODE R1 IHC, TZM, HIGH PURITY - VIISTA HC Spares 5 inquire
111203 Varian E17441100R1 E17441100] [E17441100R1] APERTURE, BASE LINER HCS INSERT UPGRADE EXTENDED - VIISta HCS Spares 5 inquire
111204 Varian E17327310 BASE PLATE,IHC 80,VER 3, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111222 Varian E17359110 STEM SUPPORT ELECTRODE HEAD, MO, HIGH PURITY - HCS Spares 5 inquire
111264 VARIAN E11087960R1 70 DEGREE WAVE GUIDE�HP, TEXTURE KITS CG Spares 5 inquire
72134 Varian E11002430 WAFER COOLING CONTROLLER Spares 2 as is where is immediately
72140 Varian E11001320 REV B TARGET, FOCUS, FARADAY Spares 31.10.1995 1 as is where is immediately
72141 Varian E11002183 PEDESTAL ASSY,MULTI 150/200MM Spares 1 as is where is immediately
72145 Varian E17101600 COVER,DUAL VAPORIZER Spares 1 as is where is immediately
109277 Varian VIISta 810 Medium Current Implanter 300mm 1 as is where is
92387 Varian E11030450 REV 3 VERT SCAN ACTUATOR Spares 31.05.1995 2 as is where is immediately
111338 VARIAN E17335810R13 APERTURE,INSERT,HC, NON-GUIDE,3MM THICK, IMPREGNATED, GPH, CG - HC Spares 5 inquire
84408 VAT 14046-PE44-1016 Gate Valve 8" Spares 1 as is where is immediately
109600 Veeco Dimension 3100 Atomic Force Microscope 1 inquire
103383 Vero Electronics 116-010069H Monovolt PK120 Power Supply, +5V 20A Spares 30.04.2001 4 as is where is immediately
103382 Vexta BLD1024H Brushless DC Motor Driver, DC24V Spares 01.05.1995 1 as is where is immediately
77171 WARNER LINEAR K2G20-24V-BR-10lsc LINEAR ACTUATOR , 24V DC Spares 01.11.2006 1 as is where is immediately
77165 WATLOW DC10-40P0-0000 SOLID STATE POWER CONTROL, AMP: 55 AMPS, NEW Spares 1 as is where is immediately
105856 Weir HSS 100/5 Power Supply Unit Spares 31.05.1995 1 as is where is
105857 Weir HSS 100/5 Switching Power Supply Unit AC to DC Spares 31.05.1995 1 as is where is immediately
109033 WEST BOND 10490 Convertible Bonder , Wedge to Ball Assembly 1 as is where is immediately
105863 WIKA 233.50.100 -1 BAR PRESSURE GAUGE G1/2A D1001/2" Spares 31.05.1997 1 as is where is immediately
106836 Yaskawa XU RC350D-C61+ERCR-RS10-C003 atmospheric wafer robot( DNS SU-3000) 300 mm 3 as is where is immediately
106979 YASKAWA ERCR-RS10-C003 CONTROLLER FOR DNS SU3000 ROBOT Robot 01.09.2006 3 as is where is immediately
83861 Zeiss 910137 Microscope illumination transformer 1 as is where is immediately
83864 Zeiss 990634-5100 Joystick, Carl Zeiss 2 as is where is immediately


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry