fabsurplus.com

List of 40 equipment available for sale at fabsurplus.com

The following are the items available for sale related to 40 at SDI fabsurplus.com. To inquire about the 40 equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of 40 items.



SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
99388 Advantest Versatest V4000 Automated Test Equipment for laboratory or office use TEST 01.06.2006 1 as is where is immediately
99389 Advantest V4000 Automated Test Equipment TEST 1 as is where is immediately
99390 Advantest V4000 Automated Test Equipment TEST 1 as is where is immediately
109824 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109825 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109826 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109827 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109828 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109829 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109830 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109831 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
109832 AE (Advanced Energy) PMH 400/2200 Miscellaneous SPARES 1 inquire
81826 AEG 2A 400-100 H Power Driver Controller 2A Spares 31.12.2004 1 as is where is immediately
108897 Agilent / Verigy 4073B PARAMETRIC TEST SYSTEM TEST 01.05.2011 1 as is where is immediately
108899 Agilent / Verigy 4073A PARAMETRIC TEST SYSTEM TEST 01.05.2001 1 as is where is
83564 Allen Bradley PanelView Plus 400 Operator Panel, Serial 1 as is where is immediately
83588 AMAT 0040-77544 Rorze Ergo Loader Turn Plate Mirra CMP FABS-202 AMAT 0040-77544 Spares 1 as is where is immediately
110850 Applied Materials 0140-77300 Wafer loss sensor Cable - CMP HEAD Spares 5 inquire
110851 Applied Materials 0140-77631 Head Rotation/ Resolver cable - CMP HEAD Spares 5 inquire
110855 Applied Materials 0140-7763 Head Rotation Power cable HD 1 - CMP HEAD Spares 5 inquire
110856 Applied Materials 0140-7764 Head Rotation Power cable HD 2 - CMP HEAD Spares 5 inquire
110857 Applied Materials 0140-7765 Head Rotation Power cable HD3 - CMP HEAD Spares 5 inquire
110858 Applied Materials 0140-7766 Head Rotation Power cable HD4 - CMP HEAD Spares 5 inquire
110860 Applied Materials 0100-77040 Head Pneumatics board Titan - CMP HEAD Spares 5 inquire
110863 Applied Materials 0040-64397 Megasonic Halar Tank - CMP Cleaner Spares 5 inquire
110869 Applied Materials 0040-43238 Solid PEEK mega rollar - CMP Cleaner Spares 5 inquire
110883 Applied Materials 0090-77240 Harness, H202 High Level Sensor - CMP Cleaner Spares 5 inquire
110886 Applied Materials 1400-01056 Input Shuttle Limit Sensors - CMP Cleaner Spares 5 inquire
110893 Applied Materials 1400-01055 Photosensor Interrupter L Shape W/LED & Amp - CMP Cleaner Spares 5 inquire
110909 Applied Materials 0870-01040 200V 200W SGDA - CMP Cleaner Spares 5 inquire
110911 Applied Materials 1140-01353 Power Supply, DC 24V 4A 100W 115/230VAC SDN 4-24-100 (SL4) - CMP Cleaner Spares 5 inquire
110912 Applied Materials 1140-01367 Power Supply, DC 24V 5A 120W 115/230VAC SDN 5-24-100 (SL5) - CMP Cleaner Spares 5 inquire
110913 Applied Materials 1140-01368 Power Supply, DC 24V 10A 240W 115/230VAC SDN 10-24-100 (SL10) - CMP Cleaner Spares 5 inquire
106065 Applied Materials Mirra ® 3400 Stand-Alone CMP System 200 mm 01.06.2001 1 as is all rebuilt immediately
110767 Applied Materials Mirra 3400 Ontrak CMP Polisher with Cleaning System 200 mm 01.05.1997 1 as is where is immediately
111054 Applied Materials 0040-55456 BELLJAR, 21 OD 300MM PC XT/XTE - PC-XT Spares 5 inquire
111058 Applied Materials 0040-86514 SHIELD, LOWER 300MM PCXT/RPC+ - PC-XT Spares 5 inquire
111059 Applied Materials 0040-07291 DEPOSITION RING ADV 101 300MM - DSTTN Spares 5 inquire
110807 Applied Materials 0240-31390 HCLU Load Cup Assy - CMP Polisher and Lower Mirra Spares 5 inquire
106203 Applied Materials Mirra 3400 Stand-Alone Oxide/STI CMP 200 mm 01.06.1998 1 inquire
110813 Applied Materials 0040-77041 Mirra teflon Coated upper polish platen - CMP Polisher and Lower Mirra Spares 5 inquire
106207 Applied Materials 0240-20611 REV E KIT SLIT VALVE PER CHAMBER REV E Spares 01.06.1999 1 as is where is immediately
106208 Applied Materials 0040-13659 REV P1 BLOCK, MTG Spares 01.06.1999 1 as is where is immediately
106216 Applied Materials 0140-20502 REV D HARN ASSY AC 2-PHASE DRIVER Spares 01.06.1999 1 as is where is immediately
106218 Applied Materials 0240-70416 REV C KIT BLOW OUT VALVE PER CHAMBER Spares 01.06.1999 1 as is where is immediately
110841 Applied Materials 0140-08725 P2 cable Harness, E-chain AIO head, 200mm - CMP HEAD Spares 5 inquire
110842 Applied Materials 0140-77637 P1 cable C/A Digital I/O head pneumatic - CMP HEAD Spares 5 inquire
110843 Applied Materials 0140-77632 Head Sweep Cable - CMP HEAD Spares 5 inquire
110718 ASM A400 Vertical furnace,Poly process 200 MM 01.06.2002 1 as is where is immediately
108713 ASM A400 HT Vertical Furnace Oxide/Poly 200 mm 01.06.2003 1 inquire immediately
108255 ASM A400 Vertical furnace, wet oxide process 200 mm 01.06.2001 1 as is where is immediately
108256 ASM A400 Vertical furnace, wet oxide process 200 mm 01.06.2001 1 as is where is immediately
108151 ASML XT1400E 193 nm DUV SCANNER(ARF) 300 mm 01.06.2005 1 as is where is immediately
110797 ASML Twinscan XT400G i LINE SCANNER 300 mm 1 as is where is immediately
110798 ASML Twinscan XT400F i LINE SCANNER 300 mm 1 as is where is immediately
110799 ASML Twinscan XT400F i LINE SCANNER 300 mm 1 as is where is immediately
111295 AXCELIS 17270240 APERTURE 1 AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111068 Axcelis 440001339 SCREW #8-32 X .1875 HEX/SC/CONE/PT SST NF - AXCELIS HE3 Spares 5 inquire
111328 AXCELIS 17270140 APERTURE (2) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111073 Axcelis 17308540 LINER SIDE W-ELS VAP, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111078 Axcelis 17133340 CATHODE REPELLER 85% DENSE W-ELS/VAE, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111334 AXCELIS 17135440 GRAPHITE LINER ELECTRON SHOWER, GPH, CG - AXCELIS HE3 Spares 5 inquire
111335 AXCELIS 17270040 LINER (4) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
106430 Blue M DCC 1406 E MP550 Blue M Oven 150 mm/200 mm 1 as is where is immediately
108804 BRANSON/IPC Series 4000 Plasma Barrel Stripper, 10" X 20" Quartz Chamber, S4075-11020 Reactor Center 1 inquire
108738 BRANSON/IPC 4055/2 Plasma Surface Treatment Tool w/Shelf Electrodes, 2ea Available 1 as is where is
109160 Brooks MTX 4000 Wafer Sorter 300mm 1 as is where is
102553 Brooks DBM 2407 V2 Dual arm Atmospheric wafer handling robot 200/300mm 2 as is where is
106807 Brooks / PRI ABM/ATM 405 single arm atmospheric wafer handling robot 200/300mm 5 as is where is immediately
106808 Brooks / PRI ABM/ATM 407B single arm atmospheric wafer handling robot 200/300mm 5 as is where is immediately
98449 Brooks / PRI ABM 405 single arm atmospheric wafer handling robot 200/300mm 3 as is where is immediately
98453 Brooks / PRI DBM 2406 Dual arm Atmospheric wafer handling robot 200/300mm 4 as is where is immediately
103821 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 31.12.2006 1 inquire immediately
103822 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 01.12.2006 1 inquire immediately
106813 Brooks PRI EDC-2400/2800 PRI robot controller(dual arm robot) 150/200/300 5 inquire
109976 Comdel CB4000 Generator SPARES 1 inquire
109977 Comdel CB4000 Generator SPARES 1 inquire
80273 Credence 671-4043-01 DUO SX FUNCTIONAL TEST CONTROLLER PCB Spares 01.04.1999 2 as is where is immediately
80274 Credence 671-4098-952707 DUO SX Master Clock Dist. 01.10.1999 1 as is where is immediately
106931 Credence 671-4098-952707 DUO SX Master Clock Dist. PCB spares 01.10.1999 1 as is where is immediately
106936 Credence 671-4043-01 REV A DUO SX FUNCTIONAL TEST CONTROLLER PCB Spares 01.04.1999 2 as is where is immediately
81864 Credence 671-4098-02 DUO SX Master Clock Dist. PCB Spares 01.02.1999 1 as is where is immediately
4259 CTI-CRYOGENIC 8040406 REV C ONBOARD FASTREGEN OPERATION MANUAL Spares 1 as is where is
4260 CTI-CRYOGENIC 8040491 REV B ONBOARD CRYOPUMP INST. MAINT MANUAL Spares 01.01.1970 1 as is where is
4265 CTI-CRYOGENIC 8040406 REV C ONBOARD FASTREGEN OPERATION MANUAL Spares 1 as is where is
4266 CTI-CRYOGENIC 8040491 REV B ONBOARD CRYOPUMP INST. MAINT MANUAL Spares 01.01.1970 1 as is where is
110753 CYMER ELS-6400 248 NM DUV Excimer Laser Facilities 01.06.2002 1 as is where is immediately
108808 DAGE 2400-WP-100 100g Wire Pull Load Cell SPARES 1 inquire
108809 DAGE 2400PC-BS500G 500g Ball Shear Load Cell for Dage 2400 SPARES 1 inquire
108810 DAGE 2400PC-WP10KG 10 Kg Wire Pull Load Cell for Dage 2400 SPARES 1 inquire
108642 Dage 4000 Bond Pull tester Assembly 01.06.2006 1 as is where is immediately
95116 DAGE PC2400 Bond & Wire Tester Operators Guide Manual 31.03.1998 0
69789 Dage 4000-HK-5-2 160-OT12-PTP-02 PULL TEST hook Spares 1 as is where is immediately
108746 DAGE 2400PC Wire pull tester Assembly 1 as is where is immediately
106447 Dage 4000 DAGE 4000 SHEAR TESTER 150 mm/200 mm 01.06.2000 1 as is where is immediately
106448 Dage 4000 OPS DAGE 4000 SHEAR TESTER w/computer 150 mm/200 mm 1 as is where is immediately
111369 Disco DCS1440 Wafer & Mask Scrubber 1 as is where is immediately
109111 Disco DFD 6240 Fully automatic dicing saw 200 mm 01.06.2007 1 as is where is immediately
108397 Disco DFL7340 Laser Saw 200 mm 01.01.2016 1 as is where is
110764 Disco DFL7340 Laser Saw 200 mm 01.06.2011 1 as is where is immediately
110980 DNS CZ-40P-AP08-D FLOW SENSOR Spares 5 inquire
18878 DNS 806 9406 D-SPIN 60A Instruction manual 1 as is where is
110057 Dressler Cesar 2740 Generator SPARES 1 inquire
95559 Edwards iQDP40 Dry Mechanical Pump Pump 01.05.2000 1 as is where is immediately
72128 Edwards 040020030 Cable, motor drive, 5M seiko Spares 1 as is where is immediately
106974 Edwards QDP40 + QMB250F Dry Vacuum pump combo with power box pump 01.06.1995 1 as is where is immediately
78132 Electroglas Horizon 4085X Fully Automatic Prober with Optem microscope and an inker 125 mm, 150 mm and 200 mm 01.01.1998 1 as is where is immediately
110298 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110299 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110300 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110301 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110302 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110303 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110304 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110305 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
110306 ENI VL-400 Controller Miscellaneous SPARES 1 inquire
108958 entegris A72-40MB-0215 Teflon Carrier 4" 97
83801 ESI 40898 ESI pcb Interrupt control pcb Spares 1 as is where is immediately
79520 Eurotherm 2408f Temperature controller 01.01.2001 3 as is where is immediately
18850 FSI 905403-001 Print and Product Structures (Poly) Mercury MP 1 as is where is
18858 FSI 504099-001 Motorola mos 16 PLC expansion project 2 as is where is
83616 FSP GROUP INC 100-240 W POWER SUPPLY for a p.c. 10A 50-60 Hz 01.01.2008 10 as is where is immediately
110783 Giant Force GTH-150-40-CP-SD Temperature and Humidity Chamber Reliability 01.02.2023 1 as is where is immediately
83800 GSC P140-74 Gleichstrom Servo Motor 1 as is where is immediately
84413 HAMPTON CYCLETROL 240 CIRCUIT BREAKER 1 as is where is immediately
109569 Hitachi CG-4000 Critical Dimension (CD) Measurement SEM 300 mm 01.06.2010 1 as is where is immediately
108896 Hitachi RS4000 Defect Review SEM 300 mm 01.06.2006 1 as is where is immediately
108902 Hitachi RS4000 Defect Review SEM 300 mm 01.05.2007 1 as is where is immediately
108413 Hitachi CG4000 SEM 300 mm 3 as is where is
109183 Hitachi CG4000 SEM - Critical Dimension (CD) Measurement 300mm 1 as is where is
108160 HITACHI CV4000 High Voltage SEM 300 mm 01.06.2014 1 as is where is
110765 Hitachi S-8840 CD SEM 1 as is all rebuilt
110781 Hitachi S-7840 High Resolution Imaging and CD-SEM 150 mm to 200 mm 01.09.2000 1 inquire immediately
52168 Hitachi 545-5540 Power Supply unit for CD SEM Spares 1 as is where is immediately
98269 HITACHI RS 4000 Defect Review SEM 300 mm 01.05.2003 1 as is where is immediately
98270 HITACHI RS 4000 Defect Review SEM 300 mm 01.05.2003 1 as is where is immediately
109114 HMI EScan 400XP E-Beam wafer inspection system 300 mm 01.06.2010 2 as is where is immediately
110616 HP / Agilent 4062 Automated Test equipment TEST 1 as is where is immediately
109187 JEOL JSM-6400F FE SEM Laboratory 1 as is where is
108164 JEOL JSM-6340F FE Sem 1 as is where is
36564 JEOL CD-SEM, JSM-6340F JEOL 1 inquire
111356 JEOL jbx-3040mv E-beam lithography system 200 mm 01.06.2006 1 as is where is immediately
98468 Kawasaki 3NX540B-A302 atmospheric wafer robot( AMAT producer) 300mm 1 as is where is
109189 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester TEST 1 as is where is
109190 Keysight / Agilent / Hewlett-Packard (HP) 4073B Parametric Tester TEST 1 as is where is
34115 KLA 740-401-320 P-N 073-401-320 AIRLOCK 1
110679 KLA Surfscan 6400 Unpatterned wafer surface particle inspection system 100-200 MM 01.06.1994 1 inquire immediately
87642 KLA 6400 6220 Set of New OEM Cables FOR SURFSCAN MODELS 6200 AND 6400 Spares 1 as is where is immediately
106106 KLA 710-022400-01 D5 Graphic Display Controller 1 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106125 KLA 710-040042-00 XB e-series detector, threshold 2x2 259 M2A PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106143 KLA 740-064388-000 RETICLE TRANSFER SYSTEM FOR 5 AND 6 INCH PHOTOMASKS 5 INCH/6 INCH 01.06.1999 1 as is where is immediately
106146 KLA 740-210171-00 ORH Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system spares 01.05.1992 1 as is where is immediately
106189 KLA 740-401-320 AIRLOCK ASSEMBLY PCB Spares 01.09.1989 1 as is where is immediately
84216 KLA 740-100360-00 ORH Mask Holder for 250 Mil x 6 inch masks for KLA 2xx reticle inspection system Spares 01.05.1992 1 as is where is immediately
84218 KLA 740-100059-00 GWH Glass Wafer Holder, 250-150 mm P1, for KLA 2xx reticle inspection system Spares 01.05.1992 1 as is where is immediately
32230 KLA TENCOR SFS6400 MECHANICAL CALIBRATION Document Number 238 WAFERSURFACE ANALYSIS SYSTEM CUSTOMER MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
18599 KLA-Tencor 710-401249-01 Rev F DRIVER BOARD for KLA 5xxx SPARES 31.05.1992 1 as is where is immediately
18600 KLA-Tencor 710-401249-01 Rev F Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18602 KLA-Tencor 750-40426.. 5xxx Spare Part BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
18603 KLA-Tencor 710-401536-00 FOR kla 5XXX ASSY NO 401536 00 ENCODER INTERFACE Spares 31.05.1992 1 as is where is immediately
18604 KLA-Tencor 710-401249-00 REV E for kla 5xxx Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18605 KLA-Tencor 710-404146-00 REV A for KLA 5XXX ASSY BOARD FOR KLA 5XXX Spares 31.05.1992 1 as is where is immediately
18606 KLA-Tencor 750-400159-00 REV A for KLA 5xxx MATROX VIP 1024 for a KLA 5XXX overlay system Spares 31.05.1992 1 as is where is immediately
18607 KLA-Tencor 730-400083-00 REV G for a KLA 5xxx PZT CONTROLLER for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18608 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx ASSY BOARD for a KLA 5xxx overlay system Rev. B 31.05.1992 1 as is where is immediately
18609 KLA-Tencor 710-400412-00 Rev K PCB for a KLA 5xxx system sp 31.05.1992 1 as is where is immediately
18610 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18611 KLA-Tencor 750-400339-00 Rev H from a KLA 5xxx PCB for a KLA 5xxx system Spares 01.05.1992 1 as is where is immediately
18612 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system SPARES 31.05.1992 1 as is where is immediately
18874 KLA-TENCOR 905-664046-000 25x2 analysis workstation 1 as is where is
18622 KLA-Tencor 750-404260 for KLA 5XXX BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
18632 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
84217 KLA-TENCOR 740-210171-00 Mask Holder for 5 inch x 0.090 thickness masks, with compensation glass, KLA 2XX spares 01.05.1992 1 as is where is immediately
84219 KLA-TENCOR 740-210171-00 Rev C OHR Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system spares 01.05.1992 1 as is where is immediately
74239 KNF NEUBERGER N 840.3 FT.18 DIAPHRAGM VACUUM PUMP LABOPORT D-79112 spares 1 as is where is
109443 LAM 853-040482-502 RF GENERATOR Spares 1 as is where is
109444 LAM 853-040482-600 RF GENERATOR Spares 1 as is where is
111031 Lam Research 716-013402-008 COV,H/E RING,QTZ - 2300 Flex Spares 5 inquire
111034 Lam Research 716-013402-063 COV,H/E RING,QTZ - 2300 Flex Spares 5 inquire
111037 Lam Research 716-012640-011 WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Star-T Spares 5 inquire
111045 Lam Research 716-012640-012 WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Kiyo Spares 5 inquire
18898 LAM RESEARCH 406-240121-008 TCP 9600SE Drawings and schematics Vol 3 1 as is where is
18899 LAM RESEARCH 406-240121-005 TCP 9600SE Maintenance procedure 1 as is where is
18900 LAM RESEARCH 406-240122-007 TCP 9600SE Drawing and schematics Vol 2 1 as is where is
18901 LAM RESEARCH 406-240122-006 TCP 9600SE Drawing and schematics Vol 1 1 as is where is
18902 LAM RESEARCH 406-240121-006 TCP 9600SE Drawing and schematics Vol 1 1 as is where is
18903 LAM RESEARCH 406-240121-007 TCP 9600SE Drawing and schematics Vol 2 1 as is where is
18904 LAM RESEARCH 406-240121-008 TCP 9600SE Manual set addendum 1 as is where is
18905 LAM RESEARCH 409-240164-001 TCP rainbow e oxide 9500 1 as is where is
18906 LAM RESEARCH 406-240040-002 TCP Rainbow 4500 system descriptions 1 as is where is
18907 LAM RESEARCH 406-240203-074 TPC 9400/9600 PTX alliance installation guide 1 as is where is
18908 LAM RESEARCH 406-240101-001 TCP 9400 SE installations and start up 1 as is where is
18909 LAM RESEARCH 407-240100-001 TCP 9400 illustrated part identifier 1 as is where is
18910 LAM RESEARCH 406-240121-003 TCP 9600 SE system operation 1 as is where is
18911 LAM RESEARCH 406-240121-002 TCP 9600 SE system description 1 as is where is
18912 LAM RESEARCH 406-240101-005 TCP 9400 SE maintenance classic 1 as is where is
18913 LAM RESEARCH 406-240203-074 TCP 9400/9600 PTX alliance installation guide 1 as is where is immediately
18914 LAM RESEARCH 409-240163-001 Rainbow TCP Envision LAM RESEARCH SECS and SECS/GEM interface 1 as is where is
18915 LAM RESEARCH 406-240100-005 TCP 9400 maintenance procedure 1 as is where is
18916 LAM RESEARCH 406-240101-008 Drawings and schematics Vol3 1 as is where is
18917 LAM RESEARCH 406-240101-006 Drawings and schematics Vol3 2 as is where is
18918 LAM RESEARCH 406-240101-005 Drawings and schematics Vol1 1 as is where is
18919 LAM RESEARCH 406-240101-007 Drawings and schematics Vol2 2 as is where is
18920 LAM RESEARCH 406-240122-009 Drawings and schematics Vol4 1 as is where is
18927 LAM RESEARCH 406-240122-008 Drawing and schematics Vol3 1 as is where is
18928 LAM RESEARCH 406-240122-010 Drawing and schematics Vol5 1 as is where is
110336 Lambda SPA 400 Generator SPARES 1 inquire
79592 Minato Electronics 1940 EPROM Programmer with additional memory Electronics Test and Measurement 31.05.2007 1 as is where is immediately
79593 Minato Electronics 1940 EPROM Programmer Electronics Test and Measurement 31.05.2005 1 as is where is immediately
109477 MKS GEW-3540 RF GENERATOR Spares 2 as is where is
101024 MKS Instruments 162-0040K Inline Pneumatic Valve ISO-KF NW 40 flanges spares 1 as is where is immediately
84372 MS PRG WO A9403789 MOUSE MODEL No.240C 01.02.1999 1 as is where is immediately
106242 Muegge MX4000D-110LL MICROWAVE POWER SUPPLY SPARES 01.02.2002 8 as is where is immediately
77936 Muegge MX4000D-110LL Microwave Power Supply 3 as is where is immediately
110663 Nikon NSR-SF140 I-LINE SCANNER 300 mm 1 as is where is immediately
18867 NIKON 204402 Operations manual NSR-1755I7A 1 as is where is immediately
111105 Nissin EE11140-0 Aperture (1) 40mm*38mm - 3000 3000(W) Spares 5 inquire
111106 Nissin 17107405-A Beam Dump Tile - 3000 3000(W) Spares 5 inquire
111089 Nissin SOK30-2140 Electrode 5 - 3000 3000(Mo) Spares 5 inquire
111101 Nissin SOK40-2031 Insulator (A) - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) Spares 5 inquire
109513 NPP NPRLC-400 RF GENERATOR Spares 10 as is where is
108856 OAI Hybralign 400 Mask Aligner, for up ro 200mm Wafers 200 MM 1 inquire
83806 ORIENTAL MOTOR 51K40GN-AT 1 as is where is immediately
83808 ORIENTAL MOTOR 5rk40gk-a2 MOTOR ELECTRIC 1 as is where is immediately
13014 Oriental Motor 5RK40RGK-AM REVERSIBLE MOTOR, 40W 100V 50/60 HZ Spares 01.06.2000 1 as is where is
111382 PERKIN ELMER 2400 Sputtering system 1 as is where is immediately
111357 PERKIN ELMER 2400-8SA Sputter system 1 as is all rebuilt
108862 PERKIN-ELMER 2400 Sputtering System 1 inquire
91330 Plasma Therm Wafer/ Batch 740 DUAL PLASMA ETCH AND RIE 4" 1 as is where is
91331 Plasma Therm Wafer/ Batch 740 DUAL PLASMA ETCH AND RIE 4" 1 as is where is
110613 PlasmaTherm SLR 740 Dual Chamber RIE / Plasma etch 200 mm 01.04.1999 1 as is where is immediately
69872 Power One HPM5C1C1E1E1H1S240 Switching Power Supply TEST 01.06.1998 1 as is where is immediately
108194 RIGAKU 3640 WAFER/DISK Analyzer 200 mm 01.06.2000 1 as is where is immediately
91557 RIGAKU XRF3640 (Handle include) Wafer/ Disk Analyzer 200 mm 01.05.1995 1 as is where is
91566 Rudolph WS3840 3D Bump Metrology 300 mm 31.05.2010 1 as is where is immediately
21521 Seiko SDI 4000 operation manual for SDI 4000 Semiconductor process evaluation SEM manual 01.06.1995 1 as is where is immediately
108874 SEMITOOL WST 406MG Wafer Spray Solvent Tool 1 inquire
84351 Semitool ST-240 Spin Rinse Dryer 1 as is where is immediately
83868 SMC CDQ1B32-40D CYL compact, CQ2 COMPACT CYLINDER SPARES 1 as is where is immediately
83869 SMC CDQ1B40-20DM CYLINDER compact, CQ2 COMPACT CYLINDER SPARES 1 as is where is immediately
110512 SPTS AS314055-03 Match SPARES 1 as is where is immediately
79890 Systron Donner DL 40 - 2A Powe Supply - single and dual voltage 1 as is where is immediately
108126 TEL Tokyo Electron VMU-40-007 Heater for Alpha 8SE furnace spares 1 as is where is
82177 Teradyne 405-097-00 Power-One Dual 5 V Power Supply 150 Amp, 230 VAC, for Teradyne J971 tester Spares 01.06.1997 4 as is where is immediately
83497 Teradyne 405-142-00 Power Supply 150 Amp, 230 VAC Spares 01.06.1997 1 as is where is immediately
83561 Teradyne 405-167-00 Power-One International Series Switching DC Power Supply SPM2E1E1S304 500W I/P 115-230v 12-7A O/P 28VDC 8.6A / 28VDC 8.6A Spares 01.06.1998 1 as is where is immediately
83566 Teradyne 405-096-00 POWER ONE Power Supply 150 Amp, 230 VAC (S233) Spares 01.06.1997 2 as is where is immediately
109006 TERADYNE 517-400-01 APMU Board for J750 tester SPARES 1 inquire immediately
82925 Teradyne 405-155-00 Power-One International Series DC Switching Power Supply, I/P 230VAC/23A, O/P 3.3V/35A / 28V/8.6A / 28V/8.6A / 15V/16A / 15V/16A Spares 01.10.1997 1 as is where is immediately
84249 THK ATHI240 LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
70303 THK LMT40UUM+489LFM LEADSCREW FOR EBARA FREX 200 SPARES 2 as is where is immediately
77088 Tolomatic 11240741 Tolomatic cylinder, replacement for AMI tools 1 as is where is
97079 Trumpf TruMicro 7240 Laser source 30.11.2012 1 inquire
87615 United Detector Technology, Inc. 40X Laser Power Meter Test 31.05.1992 1 as is where is immediately
111115 Varian E17452940 Inside End Block, 90 Deg Spares 5 inquire
111137 Varian E17291340R2 SCREW, CATHODE, COMMON, MO, HIGH PURITY - HC Spares 5 inquire
111141 Varian E17414070 SHIELD,GROUND,TUBE,IHC SOURCE AL, HIGH PURITY - HCS Spares 5 inquire
111146 Varian E17058140 NUT FILAMENT CLAMP, MO, HIGH PURITY - XE/XER Spares 5 inquire
92468 Varian E11040440 Rev 7 Secondary workstation for implanter Spares 01.07.1995 1 as is where is immediately
111157 Varian E17292040 LINER SIDE TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111161 Varian E17089940 SUPPORT ARC CHAMBER CENTER, MO, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111164 Varian E17292540 CLAMP FILAMENT IHC 80, TZM, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111166 Varian E17292540R3 CLAMP FILAMENT IHC 80 FOR FILAMENT ELS SLC, TZM, HIGH PURITY - HCS Spares 5 inquire
111171 Varian E17327340 PLATE END CATHODE IHC 80 VER 3, W, HIGH PURITY - VIISTA HC Spares 5 inquire
111172 Varian E17327340 E17327340 PLATE END CATHODE IHC 80 VER 3 - VIISta HP/HC/HCS Spares 5 inquire
111176 Varian E17220340 PLATE SIDE IHC ARC CHAMBER V810 HP EHP, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111183 Varian E17327340R3 PLATE, END, CATHODE, 25MM, W, HIGH PURITY - HCS Spares 5 inquire
111257 VARIAN E17540540R3 UMBRELLA INSULATOR PFG CERAMIC CAP KIT, CERAMIC, HIGH PURITY - VISTA HCS Spares 5 inquire
111261 VARIAN E17540540 INSULATOR, FILAMENT, PFG, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111262 VARIAN E17540540 E17540540] INSULATOR, FILAMENT, PFG - VIISta HP/HC/HCS Spares 5 inquire
111263 VARIAN E17540540R5 INSULATOR, FILAMENT,PFG, MODIFIED, MI, CERAMIC, HIGH PURITY - XE/XER Spares 5 inquire
101025 VAT 14040-je24-0004 HV Gate Valve SPARES 31.05.1996 1 as is where is immediately
101026 VAT 14040-je24-0004 HV Gate Valve SPARES 31.05.1996 1 as is where is immediately
101027 VAT 14040-je24-0004 HV Gate Valve SPARES 31.05.1996 1 as is where is immediately
84408 VAT 14046-PE44-1016 Gate Valve 8" Spares 1 as is where is immediately
109116 Veeco Vx340 Dimension Atomic Force Proflier 300 mm 01.06.2010 1 as is where is immediately
77165 WATLOW DC10-40P0-0000 SOLID STATE POWER CONTROL, AMP: 55 AMPS, NEW Spares 1 as is where is immediately
94605 Xantrex XFR 40-70 NV8200P DC Power Supply Magnet SPARES 1 as is where is
71497 ZENITH ZPS-400 Multiple voltage power supply spares 1 as is where is immediately


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry