The following are the items available for sale related to at SDI fabsurplus.com. To inquire about the equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of items.
SDI ID | Manufacturer | Model | Description | Version | Vintage | Q. ty | Sales Conditions | Lead Time | |
---|---|---|---|---|---|---|---|---|---|
111347 | 150 MW | Complete | Solar Cell and Module Production Line for M6 cells | Solar | 01.06.2018 | 1 | as is where is | immediately | |
54859 | 35 MWp | Baccini | Solar Cell Print line for Mono or Poly Crystalline Solar Cells | 156 mm | 01.11.2005 | 1 | as is where is | immediately | |
113948 | 4D Technology | AccuFiz Fizeau | Laser Interferometer | 1 | inquire | ||||
106039 | 6 inch | Complete | MEMS Production Facility for Sale | 4 inch and 6 inch | 01.06.2005 | 1 | as is where is | immediately | |
111442 | 6 inch | Complete | Wafer fab Line - Currently operational and running wafers | 150 mm | 01.06.1998 | 300 | as is where is | immediately | |
98785 | 60 MWp | Baccini | Solar Cell Print Line for Mono or Poly Crystalline Solar Cells | Solar | 01.05.2008 | 1 | as is where is | immediately | |
110771 | 8 inch | Complete | Wafer fab Line | 200 mm | 01.06.2000 | 1 | inquire | immediately | |
108645 | 8 inch / 6 inch | Partial | Bumping Wafer Fab Line | 150 mm / 200 mm | 01.06.2005 | 79 | as is where is | immediately | |
110709 | Accretech | UF200A | Prober | 200 MM | 01.06.2001 | 1 | as is where is | immediately | |
110710 | Accretech | UF200A | Prober | 200 MM | 01.12.2000 | 1 | as is where is | immediately | |
112024 | Accretech | UF200A | Fully Automatic Prober | 200 mm | 01.06.2005 | 1 | as is where is | ||
112025 | Accretech | UF200A | Fully Automatic Prober | 200 mm | 01.06.2007 | 1 | as is where is | ||
112026 | Accretech | UF200A | Fully Automatic Prober | 200 mm | 01.06.2007 | 1 | as is where is | ||
112027 | Accretech | UF200A | Fully Automatic Prober | 200 mm | 01.06.2003 | 1 | as is where is | ||
112028 | Accretech | UF200S | Fully Automatic Prober | 200 mm | 01.06.2000 | 1 | as is where is | ||
112029 | Accretech | UF200SA | Fully Automatic Prober | 200 mm | 01.06.2003 | 1 | as is where is | ||
112030 | Accretech | UF3000 | Fully Automatic Prober | 300 mm | 01.06.2005 | 1 | as is where is | ||
112031 | Accretech | UF3000 | Fully Automatic Prober | 300 mm | 01.06.2005 | 1 | as is where is | ||
112032 | Accretech | UF3000 | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
112033 | Accretech | UF3000 | Fully Automatic Prober | 300 mm | 01.06.2004 | 1 | as is where is | ||
112034 | Accretech | UF3000 | Fully Automatic Prober | 300 mm | 01.06.2009 | 1 | as is where is | ||
112035 | Accretech | UF3000 | Fully Automatic Prober | 300 mm | 01.06.2009 | 1 | as is where is | ||
112036 | Accretech | UF3000 | Fully Automatic Prober | 300 mm | 01.06.2009 | 1 | as is where is | ||
112037 | Accretech | UF3000 | Fully Automatic Prober | 300 mm | 01.06.2004 | 1 | as is where is | ||
112038 | Accretech | UF3000 | Fully Automatic Prober | 300 mm | 01.06.2005 | 1 | as is where is | ||
112039 | Accretech | UF3000 | Fully Automatic Prober | 300 mm | 01.06.2004 | 1 | as is where is | ||
112040 | Accretech | UF3000 | Fully Automatic Prober | 300 mm | 01.06.2004 | 1 | as is where is | ||
112041 | Accretech | UF3000 | Fully Automatic Prober | 300 mm | 01.06.2004 | 1 | as is where is | ||
112042 | Accretech | UF3000EX | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
112043 | Accretech | UF3000EX | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
112044 | Accretech | UF3000EX | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
112045 | Accretech | UF3000EX | Fully Automatic Prober | 300 mm | 01.06.2009 | 1 | as is where is | ||
112046 | Accretech | UF3000EX-e | Fully Automatic Prober | 300 mm | 01.06.2016 | 1 | as is where is | ||
113344 | ACCRETECH | UF3000EX | Tri-temp Prober | 300 MM | 5 | inquire | |||
113345 | ACCRETECH | UF3000EXE | Tri-temp Prober | 300 MM | 1 | inquire | |||
109540 | Accretech | UF3000 | Prober | 300 mm | 01.05.2005 | 9 | as is where is | immediately | |
111360 | Accretech / TSK | UF3000EX | 01.01.2022 | 1 | as is where is | immediately | |||
109571 | Accretech / TSK | UF3000 | Fully Automated Prober | 300 mm | 01.05.2009 | 9 | as is where is | immediately | |
111446 | Accretech / TSK | FP2000 | Prober | 200 mm | 01.03.2023 | 1 | as is where is | immediately | |
111447 | Accretech / TSK | HRG-200X | Fully Automatic Grinder | 200 mm | 01.12.2022 | 1 | as is where is | immediately | |
111448 | Accretech / TSK | UF2000 | Fully Automatic Prober | 200 mm | 01.06.2020 | 2 | as is where is | immediately | |
111475 | Accretech / TSK | UF200A | Fully Automatic Prober | 200 mm | 01.06.2005 | 15 | as is where is | immediately | |
113333 | Accretech / TSK | UF3000 | Prober with Ambient and hot (Up to 150 C) | 300 mm | 01.06.2010 | 6 | as is where is | immediately | |
113334 | Accretech / TSK | UF3000Exe | Prober with Ambient and hot (Up to 150 C) | 300 mm | 01.06.2010 | 1 | as is where is | immediately | |
111359 | Accretech / TSK | UF3000EX | Prober with HDD | 1 | as is where is | immediately | |||
95398 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately | |
95399 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately | |
95400 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately | |
95401 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately | |
95402 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately | |
95403 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 1 | as is where is | immediately | |
54226 | Accretech TSK | MHF300L | Test head manipulators | 200 mm | 31.05.1999 | 7 | as is where is | immediately | |
114031 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300 mm | 1 | as is where is | |||
114032 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300 mm | 1 | as is where is | |||
114033 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300 mm | 1 | as is where is | |||
114034 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300 mm | 1 | as is where is | |||
114035 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300 mm | 1 | as is where is | |||
114036 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300 mm | 1 | as is where is | |||
114037 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300 mm | 1 | as is where is | |||
114038 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300 mm | 1 | as is where is | |||
114039 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300 mm | 1 | as is where is | |||
114040 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300 mm | 1 | as is where is | |||
114041 | Accretech/TSK | UF3000EX | Production Wafer Prober | 300 mm | 1 | as is where is | |||
114042 | Accretech/TSK | UF3000EX-i5 | Production Wafer Prober | 300 mm | 1 | as is where is | |||
111607 | Accretech/TSK | FP3000 | Wafer Frame Prober | 300mm | 3 | as is where is | |||
111608 | Accretech/TSK | UF200 | Production Wafer Prober | 200mm | 3 | as is where is | |||
111609 | Accretech/TSK | UF3000 | Production Wafer Prober | 300mm | 10 | as is where is | |||
113387 | Acid Bench | Acid Bench | Parts clean | 150 mm | 1 | as is where is | |||
80264 | ACOPIAN | B24G210 | POWER SUPPLY | spares | 1 | as is where is | immediately | ||
109289 | AD-TEC | AMV-1000-AA | RF GENERATOR | Spares | 1 | as is where is | |||
109290 | AD-TEC | AMV-2000-AMT | RF GENERATOR | Spares | 4 | as is where is | |||
109291 | AD-TEC | AX-1000Ⅲ-27M-LC-GT | RF GENERATOR | Spares | 1 | as is where is | |||
109292 | AD-TEC | TR-3000-EI1-ET | RF GENERATOR | Spares | 1 | as is where is | |||
103225 | ADE | NanoMapper FA | Nano-Defects Inspection System | 300 mm | 1 | inquire | immediately | ||
114043 | ADE | AFS-3220 | Wafer Characterization | 300 mm | 1 | as is where is | |||
4247 | ADE | 020986-10 | 259.82 uM thickness standard | Spares | 1 | as is where is | immediately | ||
4249 | ADE | 020986-14 | 360.01 uM thickness standard | Spares | 1 | as is where is | immediately | ||
109554 | ADE | NANOMAPPER Phase Shift | nano defect inspection system | 300 mm | 01.11.2001 | 1 | as is where is | immediately | |
108791 | ADE | 5810 | Non-Contact Capacitance Gauging Module with 2ea ADE 2248 Probes | 1 | inquire | ||||
108792 | ADE | 6033 | Wafer Thickness Tester | 1 | inquire | ||||
108793 | ADE | 6033T | Wafer Thickness Tester | 1 | inquire | ||||
108615 | ADIXEN | ADS501 | Vacuum Pump | PUMP | 1 | as is where is | |||
108616 | ADIXEN | ADS1202P | Vacuum Pump | PUMP | 5 | as is where is | |||
108617 | ADIXEN | ADS1202H | Vacuum Pump | PUMP | 26 | as is where is | |||
108618 | ADIXEN | ADP122LM | Vacuum Pump | PUMP | 2 | as is where is | |||
108619 | ADIXEN | A101L | Vacuum Pump | PUMP | 40 | as is where is | |||
112606 | Adixen | 2021C2 | Mechanical Pump | Pump | 1 | as is where is | |||
112607 | Adixen | ACP 28 / V6SA TSF AMF | Mechanical Pump | Pump | 1 | as is where is | |||
111589 | Adixen Alcatel | ADS 602H (Spare parts) | Vacuum and Water/Gas fittings for facilitizing Dry Vacuum pump combo | Spares | 01.05.2008 | 1 | as is where is | immediately | |
114443 | ADT | A-WD-300TX | DICING SAW | Assembly | 2 | as is where is | |||
109622 | Adtec | AMV Controller | Miscellaneous | SPARES | 1 | inquire | |||
109623 | Adtec | AMV Controller | Miscellaneous | SPARES | 1 | inquire | |||
109624 | Adtec | AX-100 MF | Generator | SPARES | 1 | inquire | |||
109625 | Adtec | AX-100 MF | Generator | SPARES | 1 | inquire | |||
109626 | Adtec | AX-100 MF | Generator | SPARES | 1 | inquire | |||
109627 | Adtec | AX-100 MF | Generator | SPARES | 1 | inquire | |||
109628 | Adtec | AX-3000 MF | Generator | SPARES | 1 | inquire | |||
109629 | Adtec | AX-3000 P | Generator | SPARES | 1 | inquire | |||
109312 | Advanced Energy | NAVIGATOR-1013 | RF GENERATOR | Spares | 1 | as is where is | |||
109313 | Advanced Energy | NAVIGATOR-1013 | RF GENERATOR | Spares | 1 | as is where is | |||
109314 | Advanced Energy | NAVIGATOR-1013 | RF GENERATOR | Spares | 2 | as is where is | |||
109315 | Advanced Energy | NAVIGATOR-1013 | RF GENERATOR | Spares | 9 | as is where is | |||
109316 | Advanced Energy | NAVIGATOR-2013 | RF GENERATOR | Spares | 1 | as is where is | |||
109317 | Advanced Energy | NAVIGATOR-2013 | RF GENERATOR | Spares | 1 | as is where is | |||
109318 | Advanced Energy | NAVIGATOR-2013 | RF GENERATOR | Spares | 1 | as is where is | |||
109319 | Advanced Energy | NAVIGATOR-3013 | RF GENERATOR | Spares | 2 | as is where is | |||
109320 | Advanced Energy | NAVIGATOR-3013 | RF GENERATOR | Spares | 8 | as is where is | |||
109321 | Advanced Energy | NAVIGATOR-3013 | RF GENERATOR | Spares | 1 | as is where is | |||
109322 | Advanced Energy | NAVIGATOR-3013 | RF GENERATOR | Spares | 1 | as is where is | |||
109323 | Advanced Energy | NAVIGATOR-3013 | RF GENERATOR | Spares | 1 | as is where is | |||
109324 | Advanced Energy | NAVIGATOR-3013 | RF GENERATOR | Spares | 2 | as is where is | |||
109325 | Advanced Energy | OVATION-35162 | RF GENERATOR | Spares | 1 | as is where is | |||
109326 | Advanced Energy | OVATION2560SF | RF GENERATOR | Spares | 1 | as is where is | |||
109327 | Advanced Energy | PARAMOUNT MF 3KW | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109328 | Advanced Energy | PARAMOUNT-6013 | RF GENERATOR | Spares | 1 | as is where is | |||
109329 | Advanced Energy | PARAMOUNT-6013 | RF GENERATOR | Spares | 2 | as is where is | |||
109330 | Advanced Energy | PARAMOUNT-6013 | RF GENERATOR | Spares | 1 | as is where is | |||
109331 | Advanced Energy | PDX-1250 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109333 | Advanced Energy | PDX-900-2V | RF GENERATOR | Spares | 7 | as is where is | immediately | ||
109334 | Advanced Energy | PDX-900-2V | RF GENERATOR | Spares | 6 | as is where is | immediately | ||
109846 | Advanced Energy | RFG 3000 | Generator | SPARES | 1 | as is all rebuilt | immediately | ||
109335 | Advanced Energy | PDX-900-2V | RF GENERATOR | Spares | 3 | as is where is | immediately | ||
109336 | Advanced Energy | PDX-900-2V | RF GENERATOR | Spares | 9 | as is where is | immediately | ||
109337 | Advanced Energy | PDX-900-2V | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109338 | Advanced Energy | PE-1000 | RF GENERATOR | Spares | 1 | as is where is | |||
109339 | Advanced Energy | PINACLE-20K | RF GENERATOR | Spares | 7 | as is where is | |||
109340 | Advanced Energy | PINACLE-20K | RF GENERATOR | Spares | 10 | as is where is | |||
109341 | Advanced Energy | PINACLE-20K | RF GENERATOR | Spares | 1 | as is where is | |||
109342 | Advanced Energy | RAPID-F | RF GENERATOR | Spares | 4 | as is where is | |||
109343 | Advanced Energy | RAPID-F | RF GENERATOR | Spares | 1 | as is where is | |||
109344 | Advanced Energy | RAPID-F | RF GENERATOR | Spares | 11 | as is where is | |||
109345 | Advanced Energy | RAPID-F | RF GENERATOR | Spares | 1 | as is where is | |||
109346 | Advanced Energy | RFG-3001 | RF GENERATOR | Spares | 1 | as is where is | |||
109347 | Advanced Energy | VM-5000 | RF GENERATOR | Spares | 1 | as is where is | |||
109348 | Advanced Energy | VM-5000 | RF GENERATOR | Spares | 1 | as is where is | |||
109349 | Advanced Energy | XSTREAM-8K | RF GENERATOR | Spares | 1 | as is where is | |||
109876 | Advanced Energy | RFX II 3000 | Generator | SPARES | 1 | as is all rebuilt | immediately | ||
107064 | Advanced Energy | AZX 63 Controller HTCM | Miscellaneous | Spares | 1 | as is where is | immediately | ||
107075 | Advanced Energy | Cesar 1312 | RF Generator | Spares | 1 | as is where is | immediately | ||
109637 | Advanced Energy | Apex 1513 | Generator | SPARES | 1 | inquire | |||
109655 | Advanced Energy | AZX 10 | Match | SPARES | 1 | as is where is | immediately | ||
110680 | Advanced Energy | Paramount 3013 | RF generators | 7 | as is all rebuilt | immediately | |||
107108 | Advanced Energy | Hilight 133 | RF Generator | Spares | 1 | as is where is | immediately | ||
107114 | Advanced Energy | LF-5 | RF Generator | Spares | 1 | as is where is | immediately | ||
109703 | Advanced Energy | Hilight 136 | RF Generator | SPARES | 1 | as is all rebuilt | |||
113297 | Advanced Energy | RFX-600 A | RF Generator | 3155-231 A | 1 | as is all rebuilt | immediately | ||
107168 | Advanced Energy | PDX 2500 | RF Generator | Spares | 1 | as is where is | immediately | ||
107176 | Advanced Energy | Pinnacle 10k | RF Generator | Spares | 1 | as is where is | |||
108719 | ADVANCED ENERGY | MDX | Magnetron Drive p/n 2011-000-D | spares | 1 | as is where is | immediately | ||
107209 | Advanced Energy | Pinnacle Plus 5k | RF Generator | Spares | 1 | as is where is | immediately | ||
109770 | Advanced Energy | PDX 2500 | Generator | SPARES | 1 | inquire | |||
109771 | Advanced Energy | PDX 2500 | Generator | SPARES | 1 | inquire | |||
109777 | Advanced Energy | PE-2500 | Generator | SPARES | 1 | inquire | |||
112608 | Advanced Energy | 3152522-001B | RAS Split Inductor | SPARES | 1 | as is where is | |||
112609 | Advanced Energy | Apex 1500/13 | RF Generator | SPARES | 1 | as is where is | |||
112610 | Advanced Energy | Apex 3013 | RF Generator, 3000 W 13.56 MHZ | SPARES | 2 | as is where is | |||
112611 | Advanced Energy | Apex 3513 | RF Generator, 3500 W 13.56 MHZ | SPARES | 1 | as is where is | |||
112612 | Advanced Energy | ATX-600 | RF Generator | SPARES | 1 | as is where is | |||
112613 | Advanced Energy | B0111-DPXX-105-XX | RF Generator | SPARES | 5 | as is where is | |||
112614 | Advanced Energy | Cesar 4020 | RF Generator, 2 KW, 40.68 MHZ | SPARES | 1 | as is where is | immediately | ||
112615 | Advanced Energy | LF5 | RF Generator 500 KHZ | SPARES | 3 | as is where is | |||
112616 | Advanced Energy | PDX9002V | RF Generator 900W | SPARES | 2 | as is where is | |||
107241 | Advanced Energy | RFX 600 | RF Generator | Spares | 1 | as is where is | |||
112617 | Advanced Energy | PE 10K | RF Generator | SPARES | 1 | as is where is | |||
112618 | Advanced Energy | PEII-10K | RF Generator, 10 KW 40 KHZ | SPARES | 1 | as is where is | |||
112619 | Advanced Energy | PEII-10K | RF Generator, 10 KW 40 KHZ | SPARES | 10 | as is where is | |||
112620 | Advanced Energy | RF10S | RF Generator 1000W 13.56 MHZ | SPARES | 1 | as is where is | |||
109293 | Advanced Energy | APEX-1513 | RF GENERATOR | Spares | 23 | as is where is | |||
107246 | Advanced Energy | RFX 600A | RF Generator | Spares | 1 | as is all rebuilt | immediately | ||
109294 | Advanced Energy | APEX-1513 | RF GENERATOR | Spares | 1 | as is where is | |||
109295 | Advanced Energy | APEX-1513 | RF GENERATOR | Spares | 1 | as is where is | |||
109296 | Advanced Energy | APEX-3013 | RF GENERATOR | Spares | 15 | as is where is | |||
109297 | Advanced Energy | APEX-3013 | RF GENERATOR | Spares | 2 | as is where is | |||
109298 | Advanced Energy | APEX-3013 | RF GENERATOR | Spares | 2 | as is where is | |||
109299 | Advanced Energy | APEX-3013 | RF GENERATOR | Spares | 1 | as is where is | |||
109300 | Advanced Energy | ATX-600 | RF GENERATOR | Spares | 1 | as is where is | |||
109301 | Advanced Energy | ATX-600 | RF GENERATOR | Spares | 1 | as is where is | |||
109303 | Advanced Energy | ION SOURCE SUPPLY | RF GENERATOR | Spares | 1 | as is where is | |||
109304 | Advanced Energy | MDX-10K | RF GENERATOR | Spares | 1 | as is where is | |||
109305 | Advanced Energy | MDX-10K | RF GENERATOR | Spares | 2 | as is where is | |||
109306 | Advanced Energy | MDX-10K | RF GENERATOR | Spares | 1 | as is where is | |||
109818 | Advanced Energy | Pinnacle Plus 10k | Generator | SPARES | 1 | as is all rebuilt | immediately | ||
109307 | Advanced Energy | MDX-20K | RF GENERATOR | Spares | 1 | as is where is | |||
109308 | Advanced Energy | MDX-L12 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109309 | Advanced Energy | MDX-L12 | RF GENERATOR | Spares | 1 | as is where is | |||
109310 | Advanced Energy | MDX-L6 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109311 | Advanced Energy | NAVIGATOR-10013 | RF GENERATOR | Spares | 1 | as is where is | |||
77166 | Advantech | IPC-5475 | data acquisition card | Spares | 3 | as is where is | immediately | ||
77167 | Advantech | HK-6323 | data acquisition card ISA | Spares | 2 | as is where is | immediately | ||
77206 | Advantech | PCL-745B | data acquisition card 2-port RS422/485 Rev B1 | Spares | 1 | as is where is | immediately | ||
77207 | Advantech | PCL-730 | data acquisition card 32ch TTL DIO | Spares | 1 | as is where is | immediately | ||
74142 | Advantech | PCL-730 | 32-ch Isolated Digital I/O Card w/32ch TTL DIO | 31.12.2007 | 2 | as is where is | |||
74143 | Advantech | PCL-745B | 2-port RS-422/485 ISA COMM Card w/ isolation | 31.12.2007 | 2 | as is where is | |||
108548 | ADVANTEST | M6751AD | Test Handler | 200 MM | 1 | as is where is | |||
114436 | Advantest | V93000 PS1600 | SOC Test System | Test | 1 | inquire | immediately | ||
108549 | ADVANTEST | T5382A1 | Test System | TEST | 1 | as is where is | immediately | ||
108550 | ADVANTEST | T5585 | Test System | 200 mm | 1 | as is where is | immediately | ||
108551 | ADVANTEST | M6541AD | Test Handler | 200 mm | 1 | as is where is | |||
109575 | ADVANTEST | T5377 | Automated test Equipment | Test | 01.06.2010 | 6 | as is where is | immediately | |
108552 | ADVANTEST | M6541AD | Test Handler | 200 mm | 01.12.2000 | 1 | as is where is | immediately | |
109576 | ADVANTEST | T5593 | Automated test Equipment | Test | 01.01.2005 | 1 | as is where is | immediately | |
108553 | ADVANTEST | M6541AD | Test Handler | 200 mm | 1 | as is where is | immediately | ||
109577 | ADVANTEST | T5585 | Automated test Equipment | Test | 01.06.2001 | 1 | as is where is | immediately | |
108554 | ADVANTEST | M6541AD | Test Handler | 200 mm | 1 | as is where is | |||
109068 | Advantest | M6541AD | Test handler with Cold Option | TEST | 1 | inquire | immediately | ||
108045 | Advantest | M6771AD | TEST HANDLER | TEST | 1 | as is where is | |||
108048 | Advantest | T5371 | Automated test equipment | TEST | 5 | as is where is | |||
108050 | Advantest | T5585 | Automated test equipment | TEST | 1 | as is where is | |||
108057 | Advantest | T5381ES | ATE Tester | TEST | 3 | as is where is | |||
94490 | Advantest | T5375 | Automated Test Equipment | TEST | 2 | as is where is | immediately | ||
108058 | Advantest | T5585 | ATE Tester | TEST | 1 | as is where is | |||
83498 | Advantest | BGR-016797 | ADVANTEST T5335P PC Board (was 80207) | 6 | as is where is | immediately | |||
83499 | Advantest | BGR-016796 | ADVANTEST T5335P PC Board | Spares | 5 | as is where is | immediately | ||
114475 | ADVANTEST | T6372 | TEST SYSTEM | TEST | 3 | as is where is | |||
83500 | Advantest | BGR-021096 | ADVANTEST T5335P PC Board | Spares | 3 | as is where is | immediately | ||
114476 | ADVANTEST | T6373 | TEST SYSTEM | TEST | 2 | as is where is | |||
83501 | Advantest | BGR-020765 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | ||
83502 | Advantest | BGR-017418 | ADVANTEST T5335P PC Board HV PPS | Spares | 2 | as is where is | immediately | ||
114222 | Advantest | V6000 FT | Memory Tester | 300 mm | 1 | as is where is | |||
78639 | Advantest | T5335P (Spares) | Spare Boards from test system (See attached list for details) | TEST | 01.11.1997 | 1 | as is where is | immediately | |
83503 | Advantest | BGK-017719 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | ||
114223 | Advantest | V6000 FT | Memory Tester | 300 mm | 1 | as is where is | |||
83504 | Advantest | BGK-011702 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | ||
114224 | Advantest | V6000 FT | Memory Tester | 300 mm | 1 | as is where is | |||
99379 | ADVANTEST | T5375 | High-Speed Flash Memory tester | TEST | 01.11.2003 | 1 | as is where is | immediately | |
99380 | ADVANTEST | T5771ES | Automated Test Equipment for laboratory or office use | TEST | 1 | as is where is | immediately | ||
89909 | Advantest | Hifix for PQFP80 (14 x 20) | Hi-fix for Advantest T5371 package type PQFP80 (14 x 20) | Spares | 01.05.2005 | 1 | as is where is | immediately | |
76604 | Advantest | TR6846 | Digital Multimeter | Electronics test and measurement | 1 | as is where is | immediately | ||
99388 | Advantest | Versatest V4000 | Automated Test Equipment for laboratory or office use | TEST | 01.06.2006 | 1 | as is where is | immediately | |
100668 | Advantest | V3300 | Memory Tester | TEST | 1 | as is where is | |||
99389 | Advantest | Versatest V4000 | Automated Test Equipment | TEST | 01.06.2006 | 1 | as is where is | immediately | |
99390 | Advantest | Versatest V4000 | Automated Test Equipment | TEST | 01.06.2006 | 1 | as is where is | immediately | |
109120 | Advantest | V5000 | Automated Test equipment | TEST | 01.06.2010 | 11 | as is where is | immediately | |
80194 | Advantest | BGR-020773 | ADVANTEST T5335P PC Board | Spares | 7 | as is where is | immediately | ||
80195 | Advantest | BGR-020853 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | ||
80196 | Advantest | BGR-020816X02 | ADVANTEST T5335P PC Board | 2 | as is where is | immediately | |||
80197 | Advantest | BGR-020774 rev x2 | ADVANTEST T5335P PC Board | Spares | 3 | as is where is | immediately | ||
80198 | Advantest | BGR-020814 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | ||
80199 | Advantest | BGR-020771 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | ||
80200 | Advantest | BGR-020509 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | ||
80201 | Advantest | BGR-020772 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | ||
80202 | Advantest | BGR-017577 | ADVANTEST T5335P PC Board BGR-017575 | Spares | 2 | as is where is | |||
80203 | Advantest | BGR-019486 | ADVANTEST T5335P PC Board | Spares | 11 | as is where is | immediately | ||
80204 | Advantest | BGR-020851 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | ||
80205 | Advantest | BGR-017578 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | ||
80206 | Advantest | BGR-017579 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | ||
80207 | Advantest | BGR-016796 | ADVANTEST T5335P PC Board PGR-816796CC3 | Spares | 6 | as is where is | immediately | ||
80208 | Advantest | BGR-018931 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | ||
80209 | Advantest | BGR-016794 | PGR-816794CC3 PC Board | Spares | 2 | as is where is | immediately | ||
80210 | Advantest | BGR-016793 | ADVANTEST T5335P PC Board | Spares | 1 | as is where is | immediately | ||
83550 | Advantest | WUN-MONITORBOX | ADVANTEST power supply monitoring box, T5335P | Spares | 2 | as is where is | immediately | ||
111455 | ADVANTEST | T5593 | AUTOMATED TEST EQUIPMENT | TEST | 01.09.2004 | 1 | as is where is | immediately | |
87652 | Advantest | T5371 | Test system (With a single test head ) | Test | 1 | as is where is | immediately | ||
92006 | Advantest | BGR-016796 | ADVANTEST T5335P PC Board | spares | 1 | as is where is | immediately | ||
92007 | Advantest | BGR-018822 | ADVANTEST T5335P PC Board | spares | 1 | as is where is | immediately | ||
92008 | Advantest | BGR-017418 | ADVANTEST T5335P PC Board | spares | 1 | as is where is | immediately | ||
92009 | Advantest | T5335P | Boards from an Advantest T5335P Test system | spares | 18 | as is where is | immediately | ||
114044 | Advantest | T5377 | Memory Tester | 300 mm | 1 | as is where is | |||
114045 | Advantest | T5377 | Memory Tester | 300 mm | 1 | as is where is | |||
103812 | Advantest | T5335P | Automated Test System | test | 1 | as is where is | immediately | ||
111520 | ADVANTEST | T5585 | Automated test equipment | TEST | 01.06.2004 | 1 | as is where is | ||
80293 | Advantest | BGR-019267 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | ||
80294 | Advantest | BGR-020900 | ADVANTEST T5335P PC Board | Spares | 4 | as is where is | immediately | ||
80295 | Advantest | BGR-019266 | ADVANTEST T5335P MRA I/F PC Board | Spares | 1 | as is where is | immediately | ||
80296 | Advantest | BGR-017417 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | ||
80297 | Advantest | BGR-018824 Rev X03 | ADVANTEST T5335P PC Board | Spares | 8 | as is where is | immediately | ||
80298 | Advantest | BGR-018823 | ADVANTEST T5335P PC Board | Spares | 3 | as is where is | immediately | ||
80299 | Advantest | BGR-018822 | ADVANTEST T5335P PC Board PGR-818822BB2 | Spares | 4 | as is where is | immediately | ||
80300 | Advantest | BGR-018125 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | ||
80301 | Advantest | BGR-020815 | ADVANTEST T5335P PC Board | Spares | 3 | as is where is | immediately | ||
80302 | Advantest | BIR-021807 | ADVANTEST T5335P PC Board | Spares | 2 | as is where is | immediately | ||
112047 | Advantest | M6300 | Auto Handler | TEST | 1 | as is where is | |||
112048 | Advantest | M6300 | Auto Handler | TEST | 1 | as is where is | |||
112049 | Advantest | M6300 | Auto Handler | TEST | 1 | as is where is | |||
112050 | Advantest | M6300 | Auto Handler | TEST | 1 | as is where is | |||
112051 | Advantest | M6541AD | Pick and Place Memory Handler | TEST | 1 | as is where is | |||
110772 | Advantest | M6542AD | TEST HANDLER WITH COLD OPTION | TEST | 1 | inquire | immediately | ||
112052 | Advantest | M6541AD | Pick and Place Memory Handler, -30~125C / No missing | TEST | 1 | as is where is | |||
112053 | Advantest | M6541AD | Pick and Place Memory Handler, -30~125C / No missing | TEST | 1 | as is where is | |||
112054 | Advantest | M6541AD | Pick and Place Memory Handler, -30~125C / No missing | TEST | 1 | as is where is | |||
112055 | Advantest | M6771AD | Pick and Place Memory Handler | TEST | 01.06.2002 | 1 | as is where is | ||
112056 | Advantest | M6771AD | Pick and Place Memory Handler | TEST | 01.06.2004 | 1 | as is where is | ||
112057 | Advantest | MCE 8M Board for V93K | SPARE PARTS | SPARES | 1 | as is where is | |||
112058 | Advantest | MCE 8M Board for V93K | SPARE PARTS | SPARES | 1 | as is where is | immediately | ||
112059 | Advantest | T5377 | Memory Tester | TEST | 01.06.2003 | 1 | as is where is | ||
112060 | Advantest | T5377 | Memory Tester | TEST | 01.06.2004 | 1 | as is where is | ||
112061 | Advantest | T5377 | Memory Tester | TEST | 01.06.2004 | 1 | as is where is | ||
112062 | Advantest | T5377 | Memory Tester | TEST | 01.06.2004 | 1 | as is where is | ||
112063 | Advantest | T5377 | Memory Tester | TEST | 01.06.2004 | 1 | as is where is | ||
113343 | Advantest | PS1600CTH | Automated TEST Equipment | TEST | 2 | inquire | |||
112064 | Advantest | T5377 | Memory Tester | TEST | 01.06.2003 | 1 | as is where is | ||
112065 | Advantest | T5377 | Memory Tester | TEST | 01.06.2003 | 1 | as is where is | ||
112066 | Advantest | T5377 | Memory Tester | TEST | 01.06.2004 | 1 | as is where is | ||
112067 | Advantest | T5377 | Memory Tester | TEST | 01.06.2004 | 1 | as is where is | ||
112068 | Advantest | T5377 | Memory Tester | TEST | 01.06.2003 | 1 | as is where is | ||
112069 | Advantest | T5377 | Wafer Tester | TEST | 01.06.2004 | 1 | as is where is | ||
112070 | Advantest | T5377 | Wafer Tester | TEST | 01.06.2004 | 1 | as is where is | ||
112071 | Advantest | T5592 | Memory Tester | TEST | 01.06.2002 | 1 | as is where is | ||
112072 | Advantest | T5592 | Memory Tester | TEST | 01.06.2003 | 1 | as is where is | ||
112073 | Advantest | V93000 | SoC Tester | TEST | 01.06.2008 | 1 | as is where is | ||
112074 | Advantest | V93000 | SoC Tester | TEST | 01.06.2011 | 1 | as is where is | ||
112075 | Advantest | V93000 C400E | SoC Tester | TEST | 1 | as is where is | |||
112076 | Advantest | V93000 PS400 | SoC Tester | TEST | 1 | as is where is | |||
113368 | Advantest | E8013-66401 | Pin Scale CSDPS32 | SPARES | 5 | inquire | |||
113369 | Advantest | Pin Scale CSDPS32 Cable | SPARES | 9 | inquire | ||||
113370 | Advantest | E9711-66501 | Pin Scale MSDPS | SPARES | 1 | inquire | |||
113371 | Advantest | E8023-66600 | Pin Scale DPS128HC | SPARES | 2 | inquire | immediately | ||
113372 | Advantest | N2391-60100 | Pin Scale PSRF 12 Front End | SPARES | 2 | inquire | |||
113373 | Advantest | E7002-69520 | Pin Scale HCDPS� | SPARES | 1 | inquire | |||
113374 | Advantest | E7002-66523 | Pin Scale HCDPS�Extended Diag | SPARES | 1 | inquire | |||
113375 | Advantest | E7996-66403 | Pin Scale HX card | SPARES | 2 | inquire | |||
113376 | Advantest | E7002-66630 | Pin Scale SE-UDPS Board | SPARES | 1 | inquire | |||
113377 | Advantest | E8012-66401 | Pin Scale PS400 CH (Rev. B) Board� | SPARES | 20 | inquire | |||
113378 | Advantest | E8001-61670 | Pin Scale PS400 Power control cable | SPARES | 8 | inquire | |||
113379 | Advantest | E8010-66401 | Pin Scale PS3600 channel board | SPARES | 1 | inquire | |||
113380 | Advantest | E6978-66415 | Pin Scale Clock board | SPARES | 4 | inquire | |||
113381 | Advantest | E8001-66495 | Pin Scale Front Plane | SPARES | 4 | as is where is | immediately | ||
113382 | Advantest | E8013-61612 | Pin Scale GULP2 Cable | SPARES | 2 | inquire | |||
113383 | Advantest | E8013-66453 | Pin Scale UHC4 with cable | SPARES | 2 | inquire | |||
113384 | Advantest | E8024-66600 | Pin Scale UHC4T with cable | SPARES | 2 | inquire | |||
113385 | Advantest | E8030-61603 | Pin Scale PS1600 HD Cable | SPARES | 9 | as is where is | immediately | ||
113386 | Advantest | E8030-61602 | Pin Scale PS1600 SD Cable | SPARES | 4 | inquire | |||
82926 | Advantest | WUN-H90554AIR | ADVANTEST air control unit | Spares | 1 | as is where is | immediately | ||
114423 | ADVANTEST | T5593 | Automated test Equipment | Test | 01.01.2005 | 1 | as is where is | immediately | |
114252 | Advantest / アドバンテスト | WBL-H3610207CPU | TP6 CPU Board for Advantest T5593 tester | Spares | 1 | as is where is | immediately | ||
108993 | Advantest / アドバンテスト | BGR-018823 REV X03 | PC BOARD FOR ADVANTEST T5335P | Spares | 1 | immediately | |||
107109 | AE | Hilight 136 | RF Generator | Spares | 1 | as is where is | immediately | ||
107110 | AE | Hilight 136 | RF Generator | Spares | 1 | as is where is | immediately | ||
113327 | AE | RF10-S | RF Generator | 1 | as is all rebuilt | immediately | |||
111594 | AE | Z'Scan | Sensor | 1 | as is where is | immediately | |||
107258 | AE | RFX II 3000 | RF Generator | Spares | 1 | as is all rebuilt | immediately | ||
109824 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | |||
109825 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | |||
109826 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | |||
109827 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | |||
109828 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | |||
109829 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | |||
109830 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | |||
109831 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | |||
109832 | AE (Advanced Energy) | PMH 400/2200 | Miscellaneous | SPARES | 1 | inquire | |||
109833 | AE (Advanced Energy) | PMH13/3000 | Miscellaneous | SPARES | 1 | inquire | |||
109834 | AE (Advanced Energy) | PMH13/3000 | Miscellaneous | SPARES | 1 | inquire | |||
109835 | AE (Advanced Energy) | PMH13/3000 | Miscellaneous | SPARES | 1 | inquire | |||
109836 | AE (Advanced Energy) | Power Sensor | Miscellaneous | SPARES | 1 | inquire | |||
109837 | AE (Advanced Energy) | RF-20 | Generator | SPARES | 1 | inquire | |||
109838 | AE (Advanced Energy) | RF-30P | Generator | SPARES | 1 | inquire | |||
109839 | AE (Advanced Energy) | RF-30S | Generator | SPARES | 1 | inquire | |||
109840 | AE (Advanced Energy) | RF-30S | Generator | SPARES | 1 | inquire | |||
109841 | AE (Advanced Energy) | RF-5S | Generator | SPARES | 1 | inquire | |||
109842 | AE (Advanced Energy) | RF-5S | Generator | SPARES | 1 | inquire | |||
109843 | AE (Advanced Energy) | RF-5S | Generator | SPARES | 1 | inquire | |||
109844 | AE (Advanced Energy) | RF-5S | Generator | SPARES | 1 | inquire | |||
109847 | AE (Advanced Energy) | RFG 3002 | Generator | SPARES | 1 | inquire | |||
109848 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | |||
109849 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | |||
109850 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | |||
109851 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | |||
109852 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | |||
109853 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | |||
109854 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | |||
109855 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | |||
109856 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | |||
109857 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | |||
109858 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | |||
109859 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | |||
109860 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | |||
109861 | AE (Advanced Energy) | RFX 600 | Generator | SPARES | 1 | inquire | |||
109862 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | |||
109863 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | |||
109864 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | |||
109865 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | |||
109866 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | |||
109867 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | |||
109868 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | |||
109869 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | |||
109870 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | |||
109871 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | |||
109872 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | |||
109873 | AE (Advanced Energy) | RFX 600A | Generator | SPARES | 1 | inquire | |||
109874 | AE (Advanced Energy) | RFX II 1250 | Generator | SPARES | 1 | inquire | |||
109875 | AE (Advanced Energy) | RFX II 3000 | Generator | SPARES | 1 | inquire | |||
109877 | AE (Advanced Energy) | RFX II 3000 | Generator | SPARES | 1 | inquire | |||
109878 | AE (Advanced Energy) | RFX II 3000 | Generator | SPARES | 1 | inquire | |||
109879 | AE (Advanced Energy) | RFX II 3000 | Generator | SPARES | 1 | inquire | |||
109880 | AE (Advanced Energy) | RFX II Controller | Miscellaneous | SPARES | 1 | inquire | |||
109881 | AE (Advanced Energy) | Sparc-le 20 | Power Supply | SPARES | 1 | inquire | |||
109882 | AE (Advanced Energy) | Sparc-le V | Generator | SPARES | 1 | inquire | |||
109883 | AE (Advanced Energy) | Sparc-le V | Generator | SPARES | 1 | inquire | |||
109884 | AE (Advanced Energy) | Sparc-le-V | Generator | SPARES | 1 | inquire | |||
109885 | AE (Advanced Energy) | TCM Controller | Miscellaneous | SPARES | 1 | inquire | |||
109630 | AE (Advanced Energy) | AM-20 | Match | SPARES | 1 | inquire | |||
109886 | AE (Advanced Energy) | Verteq | Generator | SPARES | 1 | inquire | |||
109631 | AE (Advanced Energy) | AMNPS-2A Controller | Miscellaneous | SPARES | 1 | inquire | |||
109887 | AE (Advanced Energy) | Verteq | Generator | SPARES | 1 | inquire | |||
109632 | AE (Advanced Energy) | AMNPS-2A Controller | Miscellaneous | SPARES | 1 | inquire | |||
109888 | AE (Advanced Energy) | Verteq | Generator | SPARES | 1 | inquire | |||
109633 | AE (Advanced Energy) | Analog Control Fixture | Miscellaneous | SPARES | 1 | inquire | |||
109889 | AE (Advanced Energy) | VHF2060 | Generator | SPARES | 1 | inquire | |||
109634 | AE (Advanced Energy) | Analog Control Fixture | Miscellaneous | SPARES | 1 | inquire | |||
109890 | AE (Advanced Energy) | VHF2060 | Generator | SPARES | 1 | inquire | |||
109635 | AE (Advanced Energy) | Analog Control Fixture | Miscellaneous | SPARES | 1 | inquire | |||
109891 | AE (Advanced Energy) | VHF2060 | Generator | SPARES | 1 | inquire | |||
109636 | AE (Advanced Energy) | Analog Control Fixture | Miscellaneous | SPARES | 1 | inquire | |||
109892 | AE (Advanced Energy) | VM 1000 A | Match | SPARES | 1 | inquire | |||
109893 | AE (Advanced Energy) | Z Scan | Miscellaneous | SPARES | 1 | inquire | |||
109638 | AE (Advanced Energy) | Apex 1513 | Generator | SPARES | 1 | inquire | |||
109639 | AE (Advanced Energy) | Apex 1513 | Generator | SPARES | 1 | inquire | |||
109640 | AE (Advanced Energy) | Apex 1513 | Generator | SPARES | 1 | inquire | |||
109641 | AE (Advanced Energy) | Apex 3013 | Generator | SPARES | 1 | inquire | |||
109642 | AE (Advanced Energy) | Apex 3013 | Generator | SPARES | 1 | inquire | |||
109644 | AE (Advanced Energy) | Aspect 2513 | Generator | SPARES | 1 | inquire | |||
109645 | AE (Advanced Energy) | Aspect 2513 | Generator | SPARES | 1 | inquire | |||
109646 | AE (Advanced Energy) | Aspect 5013 | Generator | SPARES | 1 | inquire | |||
109647 | AE (Advanced Energy) | ATN Match | Match | SPARES | 1 | inquire | |||
109648 | AE (Advanced Energy) | ATX 600 | Match | SPARES | 1 | inquire | |||
109649 | AE (Advanced Energy) | ATX 600 | Match | SPARES | 1 | inquire | |||
109650 | AE (Advanced Energy) | ATX 600 | Match | SPARES | 1 | inquire | |||
109651 | AE (Advanced Energy) | ATX 600 | Match | SPARES | 1 | inquire | |||
109652 | AE (Advanced Energy) | ATX 600 Controller | Miscellaneous | SPARES | 1 | inquire | |||
109653 | AE (Advanced Energy) | AZX 10 | Match | SPARES | 1 | inquire | |||
109654 | AE (Advanced Energy) | AZX 10 | Match | SPARES | 1 | inquire | |||
109656 | AE (Advanced Energy) | AZX 10 Controller | Miscellaneous | SPARES | 1 | inquire | |||
109657 | AE (Advanced Energy) | AZX 63 Bias | Match | SPARES | 1 | inquire | |||
109658 | AE (Advanced Energy) | AZX 63 Bias | Match | SPARES | 1 | inquire | |||
109659 | AE (Advanced Energy) | AZX 63 Controller HTCM | Miscellaneous | SPARES | 1 | inquire | |||
109660 | AE (Advanced Energy) | AZX 63 Controller HTCM | Miscellaneous | SPARES | 1 | inquire | |||
109661 | AE (Advanced Energy) | AZX Box Module | Match | SPARES | 1 | inquire | |||
109662 | AE (Advanced Energy) | AZX Controller | Miscellaneous | SPARES | 1 | inquire | |||
109663 | AE (Advanced Energy) | AZX Controller | Miscellaneous | SPARES | 1 | inquire | |||
109664 | AE (Advanced Energy) | AZX Controller | Miscellaneous | SPARES | 1 | inquire | |||
109665 | AE (Advanced Energy) | AZX Controller | Miscellaneous | SPARES | 1 | inquire | |||
109666 | AE (Advanced Energy) | Cesar | Generator | SPARES | 1 | inquire | |||
109667 | AE (Advanced Energy) | Cesar 0230 | Generator | SPARES | 1 | inquire | |||
109668 | AE (Advanced Energy) | Cesar 1310 | Generator | SPARES | 1 | inquire | |||
109669 | AE (Advanced Energy) | Cesar 1312 | Generator | SPARES | 1 | inquire | |||
109670 | AE (Advanced Energy) | Cesar 1312 | Generator | SPARES | 1 | inquire | |||
109671 | AE (Advanced Energy) | Cesar 136 | Generator | SPARES | 1 | inquire | |||
109672 | AE (Advanced Energy) | Cesar 136 | Generator | SPARES | 1 | inquire | |||
109673 | AE (Advanced Energy) | Cesar 2710 | Generator | SPARES | 1 | inquire | |||
109674 | AE (Advanced Energy) | Cesar 2720 | Generator | SPARES | 1 | inquire | |||
109675 | AE (Advanced Energy) | Cesar 2720 | Generator | SPARES | 1 | inquire | |||
109676 | AE (Advanced Energy) | Cesar 2720 | Generator | SPARES | 1 | inquire | |||
109677 | AE (Advanced Energy) | Controller | Miscellaneous | SPARES | 1 | inquire | |||
109678 | AE (Advanced Energy) | Counter/Display | Match | SPARES | 1 | inquire | |||
109679 | AE (Advanced Energy) | Dome Match | Match | SPARES | 1 | inquire | |||
109680 | AE (Advanced Energy) | Dome Match | Match | SPARES | 1 | inquire | |||
109681 | AE (Advanced Energy) | Dome Match | Match | SPARES | 1 | inquire | |||
109682 | AE (Advanced Energy) | Dome Match | Match | SPARES | 1 | inquire | |||
109683 | AE (Advanced Energy) | Fixed Match | Match | SPARES | 1 | inquire | |||
109684 | AE (Advanced Energy) | Fixed Match | Match | SPARES | 1 | inquire | |||
109685 | AE (Advanced Energy) | Gencal Power Meter | Miscellaneous | SPARES | 1 | inquire | |||
109686 | AE (Advanced Energy) | Gencal Power Meter | Miscellaneous | SPARES | 1 | inquire | |||
109687 | AE (Advanced Energy) | Gencal Power Meter | Miscellaneous | SPARES | 1 | inquire | |||
109688 | AE (Advanced Energy) | Gencal Power Meter | Miscellaneous | SPARES | 1 | inquire | |||
109689 | AE (Advanced Energy) | Gencal Power Meter | Miscellaneous | SPARES | 1 | inquire | |||
109690 | AE (Advanced Energy) | Gencal Power Meter | Miscellaneous | SPARES | 1 | inquire | |||
109691 | AE (Advanced Energy) | GenCal Power Sensor | Miscellaneous | SPARES | 1 | inquire | |||
109692 | AE (Advanced Energy) | GenCal Power Sensor | Miscellaneous | SPARES | 1 | inquire | |||
109693 | AE (Advanced Energy) | GenCal Power Sensor | Miscellaneous | SPARES | 1 | inquire | |||
109694 | AE (Advanced Energy) | HFG 5000 | Generator | SPARES | 1 | inquire | |||
109695 | AE (Advanced Energy) | HFV 8000 | Generator | SPARES | 1 | inquire | |||
109696 | AE (Advanced Energy) | HFV 8000 | Generator | SPARES | 1 | inquire | |||
109697 | AE (Advanced Energy) | HFV 8000 | Generator | SPARES | 1 | inquire | |||
109698 | AE (Advanced Energy) | HFV 8000 | Generator | SPARES | 1 | inquire | |||
109699 | AE (Advanced Energy) | Hilight 133 | Generator | SPARES | 1 | inquire | |||
109700 | AE (Advanced Energy) | Hilight 133 | Generator | SPARES | 1 | inquire | |||
109701 | AE (Advanced Energy) | Hilight 133 | Generator | SPARES | 1 | inquire | |||
109702 | AE (Advanced Energy) | Hilight 133 | Generator | SPARES | 1 | inquire | |||
109704 | AE (Advanced Energy) | Hilight 136 | Generator | SPARES | 1 | inquire | |||
109705 | AE (Advanced Energy) | ION Source Supply | Generator | SPARES | 1 | inquire | |||
109706 | AE (Advanced Energy) | LF-5 | Generator | SPARES | 1 | inquire | |||
109707 | AE (Advanced Energy) | LF-5 | Generator | SPARES | 1 | inquire | |||
109708 | AE (Advanced Energy) | LF-5 | Generator | SPARES | 1 | inquire | |||
109709 | AE (Advanced Energy) | LF-5 | Generator | SPARES | 1 | inquire | |||
109710 | AE (Advanced Energy) | LF-5 | Generator | SPARES | 1 | inquire | |||
109711 | AE (Advanced Energy) | MDX 1.5 | Generator | SPARES | 1 | inquire | |||
109712 | AE (Advanced Energy) | MDX 1.5K | Generator | SPARES | 1 | inquire | |||
109713 | AE (Advanced Energy) | MDX 1.5K | Generator | SPARES | 1 | inquire | |||
109714 | AE (Advanced Energy) | MDX 10K | Generator | SPARES | 1 | inquire | |||
109715 | AE (Advanced Energy) | MDX 20K Master | Generator | SPARES | 1 | inquire | |||
109716 | AE (Advanced Energy) | MDX 20K Master | Generator | SPARES | 1 | inquire | |||
109717 | AE (Advanced Energy) | MDX 20K Master | Generator | SPARES | 1 | inquire | |||
109718 | AE (Advanced Energy) | MDX 20K Master | Generator | SPARES | 1 | inquire | |||
109719 | AE (Advanced Energy) | MDX Controller | Miscellaneous | SPARES | 1 | inquire | |||
109720 | AE (Advanced Energy) | MDX DELTA SLAVE | Generator | SPARES | 1 | inquire | |||
109721 | AE (Advanced Energy) | MDX II Calibration Box | Miscellaneous | SPARES | 1 | inquire | |||
109722 | AE (Advanced Energy) | MDX Magnetron | Generator | SPARES | 1 | inquire | |||
109723 | AE (Advanced Energy) | MDX Magnetron Drive | Generator | SPARES | 1 | inquire | |||
109724 | AE (Advanced Energy) | MDX Master | Generator | SPARES | 1 | inquire | |||
109725 | AE (Advanced Energy) | MDX Slave | Generator | SPARES | 1 | inquire | |||
109726 | AE (Advanced Energy) | MDX-10K Master | Generator | SPARES | 1 | inquire | |||
109727 | AE (Advanced Energy) | MDX-10K Master | Generator | SPARES | 1 | inquire | |||
109728 | AE (Advanced Energy) | MDX-10K Slave | Generator | SPARES | 1 | inquire | |||
109729 | AE (Advanced Energy) | MDX-20K Slave | Generator | SPARES | 1 | inquire | |||
109730 | AE (Advanced Energy) | MDX-20K Slave | Generator | SPARES | 1 | inquire | |||
109731 | AE (Advanced Energy) | MDX-20K Slave | Generator | SPARES | 1 | inquire | |||
109732 | AE (Advanced Energy) | MDX-20K Slave | Generator | SPARES | 1 | inquire | |||
109733 | AE (Advanced Energy) | MDX-5K | Generator | SPARES | 1 | inquire | |||
109734 | AE (Advanced Energy) | MDX-5K | Generator | SPARES | 1 | inquire | |||
109735 | AE (Advanced Energy) | MDX-5K | Generator | SPARES | 1 | inquire | |||
109736 | AE (Advanced Energy) | MDX-L Diagnostic Controller | Miscellaneous | SPARES | 1 | inquire | |||
109737 | AE (Advanced Energy) | MDX-L Diagnostic Controller | Miscellaneous | SPARES | 1 | inquire | |||
109738 | AE (Advanced Energy) | MDX-L Diagnostic Controller | Miscellaneous | SPARES | 1 | inquire | |||
109739 | AE (Advanced Energy) | Mercury 10013 | Match | SPARES | 1 | inquire | |||
109740 | AE (Advanced Energy) | Mercury 10013 | Match | SPARES | 1 | inquire | |||
109741 | AE (Advanced Energy) | Mercury 10013 | Match | SPARES | 1 | inquire | |||
109742 | AE (Advanced Energy) | Mercury 10013 | Match | SPARES | 1 | inquire | |||
109743 | AE (Advanced Energy) | Mercury d2 | Match | SPARES | 1 | inquire | |||
109744 | AE (Advanced Energy) | Mini-Panel | Miscellaneous | SPARES | 1 | inquire | |||
109745 | AE (Advanced Energy) | Mini-Panel | Miscellaneous | SPARES | 1 | inquire | |||
109746 | AE (Advanced Energy) | Navigator | Match | SPARES | 1 | inquire | |||
109747 | AE (Advanced Energy) | Navigator 10013-L80 | Match | SPARES | 1 | inquire | |||
109748 | AE (Advanced Energy) | Navigator Match | Match | SPARES | 1 | inquire | |||
109749 | AE (Advanced Energy) | Navigator Match | Match | SPARES | 1 | inquire | |||
109750 | AE (Advanced Energy) | Ovation 2060 | Generator | SPARES | 1 | inquire | |||
109752 | AE (Advanced Energy) | Ovation 35162 | Generator | SPARES | 1 | inquire | |||
109753 | AE (Advanced Energy) | Ovation 35162 | Generator | SPARES | 1 | inquire | |||
109754 | AE (Advanced Energy) | Ovation 35162 | Generator | SPARES | 1 | inquire | |||
109755 | AE (Advanced Energy) | Paramount 3013 | Generator | SPARES | 1 | inquire | |||
109756 | AE (Advanced Energy) | Paramount 3013 | Generator | SPARES | 1 | inquire | |||
109757 | AE (Advanced Energy) | PDW 2200 | Generator | SPARES | 1 | inquire | |||
109758 | AE (Advanced Energy) | PDW 600 | Generator | SPARES | 1 | inquire | |||
109759 | AE (Advanced Energy) | PDW 600 | Generator | SPARES | 1 | inquire | |||
109760 | AE (Advanced Energy) | PDW Controller | Miscellaneous | SPARES | 1 | inquire | |||
109761 | AE (Advanced Energy) | PDW Controller | Miscellaneous | SPARES | 1 | inquire | |||
109762 | AE (Advanced Energy) | PDW Controller | Miscellaneous | SPARES | 1 | inquire | |||
109763 | AE (Advanced Energy) | PDX 2500 | Generator | SPARES | 1 | inquire | |||
109764 | AE (Advanced Energy) | PDX 2500 | Generator | SPARES | 1 | inquire | |||
109765 | AE (Advanced Energy) | PDX 2500 | Generator | SPARES | 1 | inquire | |||
109766 | AE (Advanced Energy) | PDX 2500 | Generator | SPARES | 1 | inquire | |||
109767 | AE (Advanced Energy) | PDX 2500 | Generator | SPARES | 1 | inquire | |||
109768 | AE (Advanced Energy) | PDX 2500 | Generator | SPARES | 1 | inquire | |||
109769 | AE (Advanced Energy) | PDX 2500 | Generator | SPARES | 1 | inquire | |||
109772 | AE (Advanced Energy) | PDX II PLASMA DRIVE 2000 | Generator | SPARES | 1 | inquire | |||
109773 | AE (Advanced Energy) | PE-1000 | Generator | SPARES | 1 | inquire | |||
109774 | AE (Advanced Energy) | PE-2500 | Generator | SPARES | 1 | inquire | |||
109775 | AE (Advanced Energy) | PE-2500 | Generator | SPARES | 1 | inquire | |||
109776 | AE (Advanced Energy) | PE-2500 | Generator | SPARES | 1 | inquire | |||
109778 | AE (Advanced Energy) | PEII 5K | Generator | SPARES | 1 | inquire | |||
109779 | AE (Advanced Energy) | Pinnacle 10k | Generator | SPARES | 1 | inquire | |||
109780 | AE (Advanced Energy) | Pinnacle 10k | Generator | SPARES | 1 | inquire | |||
109781 | AE (Advanced Energy) | Pinnacle 10k | Generator | SPARES | 1 | inquire | |||
109782 | AE (Advanced Energy) | Pinnacle 10k | Generator | SPARES | 1 | inquire | |||
109783 | AE (Advanced Energy) | Pinnacle 10k | Generator | SPARES | 1 | inquire | |||
109784 | AE (Advanced Energy) | Pinnacle 10K | Generator | SPARES | 1 | inquire | |||
109785 | AE (Advanced Energy) | Pinnacle 10K | Generator | SPARES | 1 | inquire | |||
109786 | AE (Advanced Energy) | Pinnacle 10K | Generator | SPARES | 1 | inquire | |||
109787 | AE (Advanced Energy) | Pinnacle 10K | Generator | SPARES | 1 | inquire | |||
109788 | AE (Advanced Energy) | Pinnacle 10K | Generator | SPARES | 1 | inquire | |||
109789 | AE (Advanced Energy) | Pinnacle 10K | Generator | SPARES | 1 | inquire | |||
109790 | AE (Advanced Energy) | Pinnacle 10K | Generator | SPARES | 1 | inquire | |||
109791 | AE (Advanced Energy) | Pinnacle 12k | Generator | SPARES | 1 | inquire | |||
109792 | AE (Advanced Energy) | Pinnacle 12k | Generator | SPARES | 1 | inquire | |||
109793 | AE (Advanced Energy) | Pinnacle 12k | Generator | SPARES | 1 | inquire | |||
109794 | AE (Advanced Energy) | Pinnacle 15k | Generator | SPARES | 1 | inquire | |||
109795 | AE (Advanced Energy) | Pinnacle 15k | Generator | SPARES | 1 | inquire | |||
109796 | AE (Advanced Energy) | Pinnacle 20k | Generator | SPARES | 1 | inquire | |||
109797 | AE (Advanced Energy) | Pinnacle 20k | Generator | SPARES | 1 | inquire | |||
109798 | AE (Advanced Energy) | Pinnacle 20k | Generator | SPARES | 1 | inquire | |||
109799 | AE (Advanced Energy) | Pinnacle 20k | Generator | SPARES | 1 | inquire | |||
109800 | AE (Advanced Energy) | Pinnacle 20k | Generator | SPARES | 1 | inquire | |||
109801 | AE (Advanced Energy) | Pinnacle 20k | Generator | SPARES | 1 | inquire | |||
109802 | AE (Advanced Energy) | Pinnacle 6k | Generator | SPARES | 1 | inquire | |||
109803 | AE (Advanced Energy) | Pinnacle 6k | Generator | SPARES | 1 | inquire | |||
109804 | AE (Advanced Energy) | Pinnacle 6k | Generator | SPARES | 1 | inquire | |||
109805 | AE (Advanced Energy) | Pinnacle 6k | Generator | SPARES | 1 | inquire | |||
109806 | AE (Advanced Energy) | Pinnacle 6k | Generator | SPARES | 1 | inquire | |||
109807 | AE (Advanced Energy) | Pinnacle 6k | Generator | SPARES | 1 | inquire | |||
109808 | AE (Advanced Energy) | Pinnacle 6k | Generator | SPARES | 1 | inquire | |||
109809 | AE (Advanced Energy) | Pinnacle 6k | Generator | SPARES | 1 | inquire | |||
109810 | AE (Advanced Energy) | Pinnacle Controller | Miscellaneous | SPARES | 1 | inquire | |||
109811 | AE (Advanced Energy) | Pinnacle Controller | Miscellaneous | SPARES | 1 | inquire | |||
109812 | AE (Advanced Energy) | Pinnacle Controller | Miscellaneous | SPARES | 1 | inquire | |||
109813 | AE (Advanced Energy) | Pinnacle Controller | Miscellaneous | SPARES | 1 | inquire | |||
109814 | AE (Advanced Energy) | Pinnacle Controller | Miscellaneous | SPARES | 1 | inquire | |||
109815 | AE (Advanced Energy) | Pinnacle Controller | Miscellaneous | SPARES | 1 | inquire | |||
109816 | AE (Advanced Energy) | Pinnacle Controller | Miscellaneous | SPARES | 1 | inquire | |||
109817 | AE (Advanced Energy) | Pinnacle Dual 6k | Generator | SPARES | 1 | inquire | |||
109819 | AE (Advanced Energy) | Pinnacle Plus 10k | Generator | SPARES | 1 | inquire | |||
109820 | AE (Advanced Energy) | Pinnacle Plus 10k | Generator | SPARES | 1 | inquire | |||
109821 | AE (Advanced Energy) | Pinnacle Plus 10k | Generator | SPARES | 1 | inquire | |||
109822 | AE (Advanced Energy) | Pinnacle Plus 5k | Generator | SPARES | 1 | inquire | |||
109823 | AE (Advanced Energy) | PMH 13/3000 | Miscellaneous | SPARES | 1 | inquire | |||
114004 | AE Advanced Engineering | RF-10S | RF Generator | 3 | as is all rebuilt | immediately | |||
109751 | AE Advanced Engineering | Ovation 2760 | Generator | SPARES | 1 | inquire | |||
114485 | AE(ADVANCED ENGINEERING) | MWM-850 | MANUAL TAPER | 300 MM | 1 | as is where is | |||
81826 | AEG | 2A 400-100 H | Power Driver Controller 2A | Spares | 31.12.2004 | 1 | as is where is | immediately | |
108795 | AET TECHNOLOGIES | Four de Recuit Rapide | RTP Tool | 1 | inquire | ||||
112622 | Affinity | CAA003J-custom | Chiller | Chiller | 1 | as is where is | |||
112623 | Affinity | FWA-060K-BE41CBD4 | Chiller | Chiller | 4 | as is where is | |||
112624 | Affinity | FWA-060L-BE13BD4 | Chiller | Chiller | 1 | as is where is | |||
112625 | Affinity | PAA-003T CHILLER | Chiller | Chiller | 6 | as is where is | |||
108720 | AG Associates | Heatpulse 410 | Rapid Thermal Processor | 1 | as is where is | ||||
108796 | AG ASSOCIATES | Heatpulse 410 | Benchtop RTP Tool | 1 | inquire | immediately | |||
108555 | Agilent | 16702A | LOGIC ANALISYS SYSYEM | TEST | 1 | as is where is | immediately | ||
109894 | Agilent | Multimeter | Miscellaneous | SPARES | 1 | inquire | |||
109895 | Agilent | Multimeter | Miscellaneous | SPARES | 1 | inquire | |||
109896 | Agilent | Multimeter | Miscellaneous | SPARES | 1 | inquire | |||
111469 | AGILENT | N4433A | 4 Port-ELECTRONIC CALIBRATION. | 1 | as is where is | ||||
101035 | Agilent | N8241A, ATO‐S4744, 008 062 | Arbitrary Waveform Generator | Spares | 31.03.2008 | 1 | as is where is | immediately | |
101036 | Agilent | N8241A, ATO‐S4744, 008 062 | Arbitrary Waveform Generator | Spares | 31.05.2008 | 1 | as is where is | immediately | |
18870 | AGILENT | 03577-90212 | AGILENT 3571A network analyzer service manual | 1 | as is where is | ||||
112077 | Agilent | 4073B | Parametric Tester | TEST | 01.06.2005 | 1 | as is where is | ||
53031 | Agilent / HP / Verigy | GPIB IEEE488 Cable | GPIB IEEE488 Cable | spares | 1 | as is where is | immediately | ||
108897 | Agilent / Verigy | 4073B | PARAMETRIC TEST SYSTEM | TEST | 01.05.2011 | 1 | as is where is | immediately | |
108899 | Agilent / Verigy | 4073A | PARAMETRIC TEST SYSTEM | TEST | 01.05.2001 | 1 | as is where is | ||
10544 | Agilent / Verigy / Keysight | 4261A | LCR METER | TEST | 1 | as is where is | immediately | ||
76605 | Agilent / Verigy / Keysight | 41501B | SMU and Pulse Generator Expander 2 units | TEST | 01.05.2001 | 1 | as is where is | immediately | |
18868 | Agilent / Verigy / Keysight | 5335A | Universal Frequency Counter | Electronics Test and Measurement | 1 | as is where is | immediately | ||
18869 | Agilent / Verigy / Keysight | E4915A | Crystal impedance LCR meter | Electronics Test and Measurement | 1 | as is where is | immediately | ||
79588 | Agilent / Verigy / Keysight | 1671G | Logic Analyzer | test | 01.09.2007 | 1 | as is where is | immediately | |
79589 | Agilent / Verigy / Keysight | 1671G | Logic Analyzer | test | 01.09.2008 | 1 | as is where is | immediately | |
109897 | AGL Inc | Microwave Generator | Generator | SPARES | 1 | inquire | |||
80267 | Air Products | Precision Tool | Manometer 1.5 Bar | spares | 9 | as is where is | immediately | ||
80268 | AIR PRODUCTS | R-704-VCR | PRESSURE REGULATORS TEST | APSA46/2250 | 30.04.1993 | 2 | as is where is | immediately | |
83904 | AIR PRODUCTS | F-074-VCR | PRESSURE REGULATOR 10-50L MIN HCL | P/N: 101203-2 | 01.10.1989 | 1 | as is where is | immediately | |
108721 | AIR SYSTEM INTL | SVB-E8EXP | Electric Blower, Explosion Proof | FACILITIES | 1 | as is where is | immediately | ||
112626 | Airco Temescal | BJD-1800 | Filament Evaporator | 1 | as is where is | ||||
112627 | Airco Temescal | VES 2550 | E Beam Deposition System, 4 Pocket | 1 | as is where is | ||||
108797 | AIRCO TEMESCAL | CV-8-210 | E Beam Power Supply Controller, 3ea Available - Parts Only | SPARES | 1 | inquire | |||
109350 | AIXCON | Y2000 | RF GENERATOR | Spares | 1 | as is where is | |||
109351 | AIXCON | Y2000 | RF GENERATOR | Spares | 1 | as is where is | |||
111499 | Aixtron | StrataGEM 200 | ALD system with 2 process chambers for Hf02 / Al2O3, and TiN | 200 mm | 01.03.2010 | 1 | as is where is | immediately | |
112078 | Aixtron | Crius | MOCVD | 50 mm | 01.06.2008 | 1 | as is where is | ||
112079 | Aixtron | Crius | MOCVD | 50 mm | 01.06.2009 | 1 | as is where is | ||
113687 | AKRION | SantaClaraPlastic | WET Chemical Clean wet-bench | 150 mm | 01.06.1995 | 1 | as is where is | ||
113688 | AKRION | SantaClaraPlastic | WET Etch Oxide \ Nitride WH-SMS | 150 mm | 01.06.1994 | 1 | as is where is | ||
113689 | AKRION | SantaClaraPlastic | WET Etch Oxide wet-bench | 150 mm | 01.06.1989 | 1 | as is where is | ||
113690 | AKRION | SantaClaraPlastic | WET Etch Oxide wet-bench | 150 mm | 01.06.1989 | 1 | as is where is | ||
113691 | AKRION | SantaClaraPlastic | WET Etch Oxide WH-SMS | 150 mm | 01.06.1999 | 1 | as is where is | ||
113692 | AKRION | SantaClaraPlastic | WET Resist Strip WH-SUL | 150 mm | 01.06.1993 | 1 | as is where is | ||
111452 | Akrion | Goldfinger Velocity 4 | Single Wafer wet cleaning system with 4 chambers | 300 mm | 01.08.2007 | 1 | as is where is | immediately | |
108709 | Akrion | Goldfinger Velocity 4 | Single wafer cleaning system | 300 MM | 01.06.2007 | 1 | inquire | immediately | |
108722 | AKRION | V2-SA.3200 | Wet Process Station Including Tanks | 150 mm | 01.12.1996 | 1 | as is where is | immediately | |
108723 | AKRION | V2-HL.2000 | Acid Wet Bench | 150 mm | 1 | inquire | immediately | ||
113399 | AKRION | Rearmount | Wet Bench | 150 mm | 01.06.1992 | 1 | as is where is | ||
113400 | AKRION | Rearmount | Wet Bench | 150 mm | 01.06.1992 | 1 | as is where is | ||
113401 | AKRION | Rearmount | Wet Bench | 150 mm | 01.06.1992 | 1 | as is where is | ||
113402 | AKRION | Rearmount | Wet Bench | 150 mm | 01.06.1992 | 1 | as is where is | ||
84766 | AKT | NAR 1800/8 G6 ITO | Vertical In-line Sputtering Machine for LCD / TFT panel G6 | 730 mm x 920 mm (G6) | 30.11.2013 | 1 | as is where is | immediately | |
108712 | AKT | 1600 | PECVD Gen 2 PECVD deposition system | Gen 2 | 01.05.2005 | 1 | inquire | immediately | |
112628 | AKT APPLIED MATERIALS | 1600 | Cluster Sputtering Tool | 300 mm square | 1 | as is where is | |||
108724 | Alcan Tech/Canon | MAS-8000 | Microwave Ashing System | 200 mm | 1 | as is where is | immediately | ||
112640 | Alcatel | CFF450 | Turbo Pump Controller | Pump | 1 | as is where is | |||
112641 | Alcatel | ZT2100AC/RSV600 | Mechanical Pump Combo | Pump | 1 | as is where is | |||
77087 | Alcatel | flange | Alcatel pump flange 4" ID 5 1/4" OD, SST | 1 | as is where is | ||||
111457 | ALCATEL | 1000M 9014 | Turbo pump controller | 1 | as is where is | immediately | |||
108725 | ALCATEL | 5150CP | Turbo Molecular Vacuum Pump | PUMP | 2 | as is where is | immediately | ||
111544 | ALCATEL | ADS1202H | Mechanical Vacuum Pump | PUMP | 2 | as is where is | |||
111545 | ALCATEL | ADS1202H_HNS | Mechanical Vacuum Pump | PUMP | 6 | as is where is | |||
111546 | ALCATEL | ADS1202H_STANDARD | Mechanical Vacuum Pump | PUMP | 28 | as is where is | |||
111547 | ALCATEL | ADP122LM | Mechanical Vacuum Pump | PUMP | 1 | as is where is | |||
111549 | ALCATEL | RSV1802 | Mechanical Vacuum Pump | PUMP | 1 | as is where is | |||
111550 | ALCATEL | RSV1803 | Mechanical Vacuum Pump | PUMP | 9 | as is where is | |||
112629 | Alcatel | 2008 A | Mechanical Pump | Pump | 1 | as is where is | |||
112630 | Alcatel | 2010 SD Pascal | Mechanical Pump | Pump | 2 | as is where is | |||
112631 | Alcatel | 2021CP | Mechanical Pump | Pump | 1 | as is where is | |||
112632 | Alcatel | 2021SD | Mechanical Pump | Pump | 1 | as is where is | |||
112633 | Alcatel | 5400CP | Turbomolecular Pump | Pump | 12 | as is where is | |||
112634 | Alcatel | 5401CP | Turbomolecular Pump | Pump | 1 | as is where is | |||
112635 | Alcatel | ASM 180 TD | Leak detector with Turbo Pump | Facilities | 1 | as is where is | |||
112636 | Alcatel | ASM 180 TD | Leak detector with Turbo Pump | Facilities | 1 | as is where is | |||
112637 | Alcatel | ASM 52 | Leak detector with Diffusion Pump | Facilities | 1 | as is where is | |||
112638 | Alcatel | ASM-142-S | Leak detector with Turbo Pump | Facilities | 1 | as is where is | |||
112639 | Alcatel | ATP 1500M | Turbomolecular Pump | Pump | 1 | as is where is | |||
109574 | Alcatel Adixen | ADS1202H | Dry vacuum Pump | PUMP | 118 | as is where is | immediately | ||
110754 | Alcatel Adixen | ADS1202H | Dry vacuum Pump | PUMP | 5 | as is where is | immediately | ||
112642 | Alessi | REL | Prober | 100 mm | 1 | as is where is | |||
108726 | ALESSI | REL-4500 | Analytical Wafer Prober with 6" (dia.) Gold Plated Chuck | 150 mm | 1 | inquire | immediately | ||
108798 | ALESSI | REL- Series 5000 | Analytical Prober with Mitutoyo FS-70 Zoom Microscope with LWD Objective Lenses, New Wave QuikLaze 532/355 Laser with 50X Lens, Missing Computer | 1 | inquire | ||||
108799 | ALESSI | REL-4100A | Analytical Prober | 1 | inquire | ||||
77093 | Allen Bradley | 800F-PN3GX11 | Contact module 24V, AMI S3320-15-1, pk of 3 | 1 | as is where is | ||||
83564 | Allen Bradley | PanelView Plus 400 | Operator Panel, Serial | 1 | as is where is | immediately | |||
83593 | Allen Bradley | Micro-Logix 1200 | PLC Module | 1 | as is where is | immediately | |||
83595 | Allen Bradley | 1762-IF4 | PLC 4-ch input module | 1 | as is where is | immediately | |||
108727 | ALPHASEM | Swissline 9006 (Spares) | Automatic Epoxy Die Bonder, Parts Tool Only | 200 mm | 01.04.1993 | 1 | as is where is | immediately | |
109898 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | |||
109899 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | |||
109900 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | |||
109901 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | |||
109902 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | |||
109903 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | |||
109904 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | |||
109905 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | |||
109906 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | |||
109907 | ALTRONIC | Dummy Load | Miscellaneous | SPARES | 1 | inquire | |||
86305 | AMAT | 0010-20422 | Endura 5500 PVD 8" Shield treatment and cover assembly | 1 | as is where is | immediately | |||
111407 | AMAT | 0010-28715 | ESC Heater for TXZ | 300mm | 1 | as is all rebuilt | immediately | ||
111413 | AMAT | Centura RTP Vantage | 300mm | 1 | as is where is | immediately | |||
84551 | AMAT | 0041-61611 | Retaining ring, Titan II, 8" *NEW* | 1 | as is where is | immediately | |||
109908 | AMAT | 2MHZ Ice Match | Match | SPARES | 1 | inquire | |||
109909 | AMAT | 8300 | Match | SPARES | 1 | inquire | |||
109910 | AMAT | 8300 | Match | SPARES | 1 | inquire | |||
109911 | AMAT | 8300 | Match | SPARES | 1 | inquire | |||
109912 | AMAT | DC Power Supply | Power Supply | SPARES | 1 | inquire | |||
109913 | AMAT | Manual Controller | Miscellaneous | SPARES | 1 | inquire | |||
109914 | AMAT | Manual Controller | Miscellaneous | SPARES | 1 | inquire | |||
109915 | AMAT | Manual Controller | Miscellaneous | SPARES | 1 | inquire | |||
109916 | AMAT | Micro Match | Match | SPARES | 1 | inquire | |||
109917 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109918 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109919 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109920 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109921 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109922 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109923 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109924 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109925 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109926 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109927 | AMAT | P5000 | Match | SPARES | 1 | inquire | |||
109928 | AMAT | P5000 Controller | Miscellaneous | SPARES | 1 | inquire | |||
109929 | AMAT | P5000 Controller | Miscellaneous | SPARES | 1 | inquire | |||
109930 | AMAT | P5000 Controller | Miscellaneous | SPARES | 1 | inquire | |||
109931 | AMAT | Phase Mag Detector | Miscellaneous | SPARES | 1 | inquire | |||
109932 | AMAT | Phase Mag Detector | Miscellaneous | SPARES | 1 | inquire | |||
109933 | AMAT | Phase Mag Detector | Miscellaneous | SPARES | 1 | inquire | |||
109934 | AMAT | RF Match | Match | SPARES | 1 | inquire | |||
109935 | AMAT | RF Match Controller | Miscellaneous | SPARES | 1 | inquire | |||
109936 | AMAT | RF Match Controller | Miscellaneous | SPARES | 1 | inquire | |||
109937 | AMAT | RF Match Controller | Miscellaneous | SPARES | 1 | inquire | |||
109938 | AMAT | RF Match Controller | Miscellaneous | SPARES | 1 | inquire | |||
109939 | AMAT | RF Match Controller | Miscellaneous | SPARES | 1 | inquire | |||
109940 | AMAT | RF Match, VOR | Match | SPARES | 1 | inquire | |||
109941 | AMAT | Vectra IMP | Match | SPARES | 1 | inquire | |||
83588 | AMAT | 0040-77544 | Rorze Ergo Loader Turn Plate Mirra CMP FABS-202 AMAT 0040-77544 | Spares | 1 | as is where is | immediately | ||
108978 | AMAT | 0010-36736 | Heater | 1 | as is where is | immediately | |||
110785 | AMAT | 0195-01314 | Dual zone ceramic heater | 300mm | 1 | as is where is | immediately | ||
111571 | AMAT | SMC INR-498-016E-X007 | AMAT 0190-54601 Thermo Chiller | 1 | as is where is | immediately | |||
83669 | AMAT | 0190-24834-002 | MFC Celerity ufc-8165 1L H2 | 3 | as is where is | immediately | |||
111578 | AMAT / Applied Materials | ENDURA CL MF | PVD Cluster tool mainframe and chambers for spares use | 300 mm | 01.01.2009 | 4 | as is where is | immediately | |
111579 | AMAT / Applied Materials | Centris MESA | Dry Etch cluster tool | 300 mm | 01.01.2011 | 4 | as is where is | immediately | |
111522 | AMESS | ASL-8000 | Back-end | ASSEMBLY | 01.06.2012 | 1 | as is where is | ||
109357 | AMETEK | SGA200X25E-1DAA | RF GENERATOR | Spares | 15 | as is where is | |||
77090 | AMI | B390.05 | belt | 1 | as is where is | ||||
77153 | AMI | 885 | Screen printer Squeegee box lot | SMT | 1 | as is where is | immediately | ||
74261 | AMI Presco | ACL-TS | Solar wafer picker tool | 31.12.2006 | 1 | as is where is | immediately | ||
77188 | AMI Presco | USP206-2E | Solar wafer conveyor, 156mm for use with screen printers, etc. | 156mm | 1 | as is where is | immediately | ||
84241 | Anaheim Automation | TM4500 AA4520 | Stepper controller/driver board | Spares | 1 | as is where is | immediately | ||
77162 | Anaheim Automation | 23D306S | Stepper motor 3.4VDC 2.9A | Spares | 1 | as is where is | immediately | ||
112643 | Anatech Ltd | Hummer 6.6T | Sputter Coater for sample prep | 1 | as is where is | ||||
79884 | ANDO | AF9723 | Eprom Gang programmer w/ RAM expansion | Electronics Test and Measurement | 01.01.1995 | 1 | as is where is | immediately | |
109070 | Andre | Deluxe | Near Field Detection Receiver | 0 | as is where is | immediately | |||
84221 | ANELVA | 954-7700 | Vacuum Feedthrough | Spares | 1 | as is where is | immediately | ||
2669 | Angelantoni | T600 TU5 | Large Clean-room Oven with internal blowers | FACILITIES | 01.07.1995 | 1 | as is where is | immediately | |
10637 | Angelantoni | T600 TUS | Large Clean-room Oven with internal blowers | FACILITIES | 01.05.1995 | 1 | inquire | immediately | |
114264 | ANTON PAAR | Abbemat MCR92 | Rheometer | Laboratory | 01.06.2019 | 1 | as is where is | ||
106883 | APEX | 5513 | RF Generator | Spares | 01.06.2015 | 4 | as is where is | immediately | |
110848 | Applied Materials | IT1011-N31-X4 | SMC UPA Regulator (X4) - CMP HEAD | Spares | 5 | inquire | |||
110849 | Applied Materials | 0090-00963 | UPA Pressure Transducer - CMP HEAD | Spares | 5 | inquire | |||
111617 | Applied Materials | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300mm | 1 | as is where is | |||
110850 | Applied Materials | 0140-77300 | Wafer loss sensor Cable - CMP HEAD | Spares | 5 | inquire | |||
110851 | Applied Materials | 0140-77631 | Head Rotation/ Resolver cable - CMP HEAD | Spares | 5 | inquire | |||
100868 | Applied Materials | Mirra Mesa | CMP System | 200 mm | 1 | inquire | 5 months | ||
110852 | Applied Materials | 0021-77866 | Drive spindle clamp - CMP HEAD | Spares | 5 | inquire | |||
113412 | Applied Materials | Endura 5500 | PVD with Degas, AlCu, TIN101, TiN101, Ti200 | 150 mm | 01.06.1995 | 1 | as is where is | immediately | |
108037 | Applied Materials | Various Spare Parts | Spare Parts for sale | Spares | 1 | as is where is | immediately | ||
110853 | Applied Materials | 0190-77116 | Flexible disk - CMP HEAD | Spares | 5 | inquire | |||
110854 | Applied Materials | EE-SX672 | Sweep Home flag sensor - CMP HEAD | Spares | 5 | inquire | |||
111622 | Applied Materials | Centura AP DPS AdvantEdge G2 Poly | Polysilicon Etch | 300mm | 1 | as is where is | |||
109063 | Applied Materials | Mirra Mesa | Oxide CMP system, with SMIF | 200 mm | 1 | inquire | |||
110855 | Applied Materials | 0140-7763 | Head Rotation Power cable HD 1 - CMP HEAD | Spares | 5 | inquire | |||
110856 | Applied Materials | 0140-7764 | Head Rotation Power cable HD 2 - CMP HEAD | Spares | 5 | inquire | |||
111624 | Applied Materials | Centura AP DPS II Polysilicon | Polysilicon Etch | 300mm | 1 | as is where is | |||
100873 | Applied Materials | Mirra Mesa Integrated | Oxide/STI CMP | 200 mm | 01.05.1999 | 1 | inquire | ||
110857 | Applied Materials | 0140-7765 | Head Rotation Power cable HD3 - CMP HEAD | Spares | 5 | inquire | |||
111625 | Applied Materials | Centura AP DPS II Polysilicon | Polysilicon Etch | 300mm | 1 | as is where is | |||
113417 | Applied Materials | Endura 5500 | PVD WITH CHAMBERS FOR TIN 101, TI/TIN 450C, AlSiCu, Ti 200 | 150 mm | 01.06.1994 | 1 | as is where is | immediately | |
110858 | Applied Materials | 0140-7766 | Head Rotation Power cable HD4 - CMP HEAD | Spares | 5 | inquire | |||
111626 | Applied Materials | Centura AP DPS II Polysilicon | Polysilicon Etch | 300mm | 1 | as is where is | |||
110859 | Applied Materials | MIRRA MESA (SPARE PARTS) | Head sweep linear guide - CMP HEAD | Spares | 5 | inquire | |||
108556 | Applied Materials | Uvision 600SP | Brightfield Inspection System | 300 mm | 01.05.2008 | 1 | as is where is | immediately | |
110860 | Applied Materials | 0100-77040 | Head Pneumatics board Titan - CMP HEAD | Spares | 5 | inquire | |||
108557 | Applied Materials | Centura EPI | Epitaxial Deposition, reduced pressure, 2 chamber | 300 mm | 01.05.2002 | 1 | as is where is | immediately | |
110861 | Applied Materials | MIRRA MESA (SPARE PARTS) | Wafer loss sensor pcb - CMP HEAD | Spares | 5 | inquire | |||
108558 | Applied Materials | ENDURA CL | PVD Cluster tool | 300 mm | 01.05.2002 | 1 | as is where is | ||
109582 | Applied Materials | P5000 | CVD SiN Process with 2 x CVD chambers | 150 MM | 01.06.1989 | 1 | as is where is | immediately | |
110862 | Applied Materials | 0190-38704 | SMC2000 - Node 24 - CMP Cleaner | Spares | 5 | inquire | |||
108559 | Applied Materials | ENDURA II Chamber | PVD | 300 mm | 01.05.2006 | 1 | as is where is | immediately | |
109583 | Applied Materials | P5000 | CVD TEOS Process with 2 x CVD chambers | 150 MM | 01.06.1989 | 1 | as is where is | immediately | |
110863 | Applied Materials | 0040-64397 | Megasonic Halar Tank - CMP Cleaner | Spares | 5 | inquire | |||
108560 | Applied Materials | ENDURA II Chamber | PVD | 300 mm | 01.05.2018 | 1 | as is where is | immediately | |
110864 | Applied Materials | MS1-286X70-4A-Q | Megasonic Transducer Plate - CMP Cleaner | Spares | 5 | inquire | |||
111632 | Applied Materials | Centura Ultima Plus | HDP CVD (Chemical Vapor Deposition) | 200mm | 1 | as is where is | |||
108561 | Applied Materials | ENDURA II Chamber | PVD | 300 mm | 01.05.2018 | 1 | as is where is | immediately | |
110865 | Applied Materials | AP-50 | Megasonic White Knight Pump - CMP Cleaner | Spares | 5 | inquire | |||
111633 | Applied Materials | Endura II Front-End Metallization | PVD (Physical Vapor Deposition) | 300mm | 1 | as is where is | |||
110866 | Applied Materials | Mirra Mesa (Spare parts) | mega genentor - CMP Cleaner | Spares | 5 | inquire | |||
110867 | Applied Materials | ETN23A-SC-B (Orion Pel-Thermo) | Megasonic Heat Exchanger - CMP Cleaner | Spares | 5 | inquire | |||
110868 | Applied Materials | 0021-79131 | Walking Beam Finger Pins - CMP Cleaner | Spares | 5 | inquire | |||
111636 | Applied Materials | Mirra Integra Dielectric | Dielectric CMP | 150mm | 1 | as is where is | |||
110869 | Applied Materials | 0040-43238 | Solid PEEK mega rollar - CMP Cleaner | Spares | 5 | inquire | |||
111637 | Applied Materials | P-5000 Mark II DLH PECVD TEOS | PECVD (Chemical Vapor Deposition) | 200mm | 1 | as is where is | |||
96534 | Applied Materials | Mirra Mesa | CMP system | 200 mm | 1 | inquire | |||
110870 | Applied Materials | 0190-77181 | Brush Position Roller Servomotor Scrubber - CMP Cleaner | Spares | 5 | inquire | |||
111638 | Applied Materials | P-5000 Mark II DLH PECVD TEOS | PECVD (Chemical Vapor Deposition) | 200mm | 1 | as is where is | |||
110871 | Applied Materials | 0190-77182 | Scrubber Brush Servomotor - CMP Cleaner | Spares | 5 | inquire | |||
111639 | Applied Materials | Producer Etch eXT Dielectric | Dielectric Etch | 300mm | 1 | as is where is | |||
91160 | Applied Materials | Centura WCVD | WxZ Optima | 200 mm | 1 | as is where is | |||
110872 | Applied Materials | 0190-77212 | Output Station Servo Motor - CMP Cleaner | Spares | 5 | inquire | |||
111640 | Applied Materials | Producer Etch eXT Dielectric | Dielectric Etch | 1 | as is where is | ||||
96537 | Applied Materials | Mirra Ontrak | Poly/STI CMP | 200 mm | 01.05.1999 | 1 | inquire | ||
110873 | Applied Materials | Mirra Mesa (Spare parts) | SRD finger set - CMP Cleaner | Spares | 5 | inquire | |||
111641 | Applied Materials | Producer Etch eXT Poly | Polysilicon Etch | 300mm | 1 | as is where is | |||
91162 | Applied Materials | Centura WCVD | WSIX(OPTIMA) | 200 mm | 1 | as is where is | |||
96538 | Applied Materials | Mirra Ontrak | Poly/STI CMP | 200 mm | 31.05.1999 | 1 | inquire | ||
110874 | Applied Materials | 0190-77200 | SRD Servo Motor - CMP Cleaner | Spares | 5 | inquire | |||
108059 | Applied Materials | CENTURA 2 DPS | Deep Trench Etcher, 2 chambers | 150 mm | 1 | as is where is | |||
110875 | Applied Materials | 1080-01207 | Walking Beam Servo Motor x - CMP Cleaner | Spares | 5 | inquire | |||
108060 | Applied Materials | Centura 5300 HDP Omega | Dry etch cluster tool with 2 Chambers SIO2 etch | 200 mm | 1 | as is where is | |||
110620 | Applied Materials | Centura Enabler | Dry etcher | 300 mm | 1 | as is where is | |||
110876 | Applied Materials | 1080-01207 | Walking Beam Servo Motor y - CMP Cleaner | Spares | 5 | inquire | |||
97053 | Applied Materials | ENDURA2 Chamber only | Amber-(Ti) chamber | 300 mm | 1 | as is where is | immediately | ||
110877 | Applied Materials | 0090-77173 | Wafer Present Switch - CMP Cleaner | Spares | 5 | inquire | |||
113693 | Applied Materials | Centura MXP | Dry Etch Poly Poly/trench Etcher | 150 mm | 01.06.1995 | 1 | as is where is | ||
113949 | Applied Materials | 5200 Centura II | Metal Etch System - with 2 x DPS -DPM chambers | 200 mm | 01.04.2019 | 1 | as is where is | immediately | |
97054 | Applied Materials | ENDURA2 Chamber only | ESIP chamber | 300 mm | 1 | as is where is | immediately | ||
110878 | Applied Materials | 0010-04118 | Wafer Presence Sensor - CMP Cleaner | Spares | 5 | inquire | |||
113694 | Applied Materials | Centura MXP | Dry Etch Poly Poly/trench Etcher | 150 mm | 01.06.1995 | 1 | as is where is | ||
110879 | Applied Materials | 0090-00915 | Megasonice progammable level sensor - CMP Cleaner | Spares | 5 | inquire | |||
111647 | Applied Materials | Producer SE PECVD TEOS | PECVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | |||
113695 | Applied Materials | Centura MXP | Dry Etch Poly Poly/trench Etcher | 150 mm | 01.06.1995 | 1 | as is where is | ||
91168 | Applied Materials | Centura WCVD | WSIX(OPTIMA) | 200 mm | 31.05.2000 | 1 | inquire | ||
109088 | Applied Materials | SEMVISION G3 Lite | Defect Review SEM | 300 mm | 01.08.2007 | 1 | as is where is | 4 months | |
110880 | Applied Materials | 0090-00916 | Megasonic Tank High Level Switch - CMP Cleaner | Spares | 5 | inquire | |||
113696 | Applied Materials | P5000 | TF BPSG - SACVD BPSG | 150 mm | 01.06.1994 | 1 | as is where is | ||
110881 | Applied Materials | 0090-00917 | Megasonic Tank Level Level Switch - CMP Cleaner | Spares | 5 | inquire | |||
111649 | Applied Materials | Producer SE SACVD | SACVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | |||
113185 | Applied Materials | Verity 6i | CD-SEM | 300 mm | 01.06.2014 | 1 | as is where is | 2 months | |
113697 | Applied Materials | P5000 | TF Silan - PECVD SILAN | 150 mm | 01.06.1990 | 1 | as is where is | ||
110882 | Applied Materials | 0090-77239 | Harness, H202 HH Level Sensor - CMP Cleaner | Spares | 5 | inquire | |||
113698 | Applied Materials | P5000 | TF Silan - PECVD SILAN | 150 mm | 01.06.1994 | 1 | as is where is | ||
110883 | Applied Materials | 0090-77240 | Harness, H202 High Level Sensor - CMP Cleaner | Spares | 5 | inquire | |||
113699 | Applied Materials | P5000 | TF Silan - PECVD SILAN | 150 mm | 01.06.1996 | 1 | as is where is | ||
110884 | Applied Materials | 0090-77241 | Harness, H202 Low Level Sensor - CMP Cleaner | Spares | 5 | inquire | |||
113700 | Applied Materials | P5000 | TF TEOS - PECVD SPT ETCH | 150 mm | 01.06.1990 | 1 | as is where is | ||
97061 | Applied Materials | ENDURA2 Chamber Only | WSI chamber only | 300 mm | 1 | as is where is | immediately | ||
110885 | Applied Materials | 0090-77242 | Harness, H202 LL Level Sensor - CMP Cleaner | Spares | 5 | inquire | |||
113701 | Applied Materials | P5000 | TF TEOS - PECVD SPT ETCH | 150 mm | 01.06.1996 | 1 | as is where is | ||
97062 | Applied Materials | ENDURA2 Chamber Only | WSI chamber only | 300 mm | 1 | as is where is | immediately | ||
110886 | Applied Materials | 1400-01056 | Input Shuttle Limit Sensors - CMP Cleaner | Spares | 5 | inquire | |||
113702 | Applied Materials | P5000 | TF TEOS - SACVD TEOS | 150 mm | 01.06.1990 | 1 | as is where is | ||
109095 | Applied Materials | 0010-10527 | Polyimide ESC PEDESTAL, MxP-5200 Poly 8" Flat | 200 mm | 1 | as is where is | immediately | ||
110887 | Applied Materials | 0090-77203 | Shuttle Wafer Presence Switch - CMP Cleaner | Spares | 5 | inquire | |||
111655 | Applied Materials | VeritySEM 4i | SEM - Critical Dimension (CD) Measurement | 300mm | 1 | as is where is | |||
113191 | Applied Materials | Vantage RadOx | RTP | 300 mm | 1 | as is where is | |||
113703 | Applied Materials | P5000 | TF TEOS - SACVD TEOS | 150 mm | 01.06.1996 | 1 | as is where is | ||
109352 | Applied Materials | 0090-05530 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
110888 | Applied Materials | 0090-77179 | Gripper sensor harness, arm 1 - CMP Cleaner | Spares | 5 | inquire | |||
113704 | Applied Materials | P5000 | TF W Tungsten - CVD W BLANKET | 150 mm | 01.06.1996 | 1 | as is where is | ||
109353 | Applied Materials | 0090-05530 | RF GENERATOR | Spares | 1 | as is where is | |||
110889 | Applied Materials | 0090-77180 | Gripper sensor harness, arm 2 - CMP Cleaner | Spares | 5 | inquire | |||
113193 | Applied Materials | Centura AP AdvantEdge G5 Metal | Dry Etch | 300 mm | 1 | as is where is | |||
113705 | Applied Materials | P5000 | TF W Tungsten - CVD W BLANKET | 150 mm | 01.06.2000 | 1 | as is where is | ||
109354 | Applied Materials | P-5000 | RF GENERATOR | Spares | 1 | as is where is | |||
110890 | Applied Materials | 0090-77181 | Gripper sensor harness, arm 3 - CMP Cleaner | Spares | 5 | inquire | |||
113194 | Applied Materials | Endura II Aluminum Interconnect | PVD | 300 mm | 1 | as is where is | |||
113706 | Applied Materials | P5000 | TF W Tungsten - CVD WSI | 150 mm | 01.06.1996 | 1 | as is where is | ||
109355 | Applied Materials | P-5000 | RF GENERATOR | Spares | 1 | as is where is | |||
110891 | Applied Materials | 0090-77182 | Gripper sensor harness, arm 4 - CMP Cleaner | Spares | 5 | inquire | |||
113195 | Applied Materials | Endura II Front-End Metallization | Co PVD | 300 mm | 1 | as is where is | |||
113707 | Applied Materials | SST - Solvent Spray Tool | WET ETCH WET Resist Strip sst | 150 mm | 01.06.1996 | 1 | as is where is | ||
109356 | Applied Materials | PVD RF MATCH | RF GENERATOR | Spares | 1 | as is where is | |||
110892 | Applied Materials | 0090-77183 | Gripper sensor harness, arm 5 - CMP Cleaner | Spares | 5 | inquire | |||
113196 | Applied Materials | Endura II Front-End Metallization | Co PVD | 300 mm | 1 | as is where is | |||
113708 | Applied Materials | SST - Solvent Spray Tool | WET ETCH WET Resist Strip sst | 150 mm | 01.06.1997 | 1 | as is where is | ||
100909 | Applied Materials | CENTURA DPS ll MESA T2 | Poly Etcher With 3 poly etch chambers and 1 axiom chamber | 300 mm | 01.01.2015 | 1 | as is where is | immediately | |
110893 | Applied Materials | 1400-01055 | Photosensor Interrupter L Shape W/LED & Amp - CMP Cleaner | Spares | 5 | inquire | |||
113709 | Applied Materials | SST - Solvent Spray Tool | WET ETCH WET Resist Strip sst | 150 mm | 01.06.1998 | 1 | as is where is | ||
100910 | Applied Materials | CENTURA DPS ll MESA T2 | Poly Etcher with 3 x DPS2 and 1 x Axiom CH | 300 mm | 01.04.2015 | 1 | as is where is | immediately | |
109358 | Applied Materials | e-MAX RF MATCH | RF GENERATOR | Spares | 6 | as is where is | |||
110894 | Applied Materials | 0090-00861 | Harness, Wafer Present Switch - CMP Cleaner | Spares | 5 | inquire | |||
113198 | Applied Materials | Endura II Liner/Barrier | PVD | 300 mm | 1 | as is where is | |||
113710 | Applied Materials | SST - Solvent Spray Tool | WET ETCH WET Resist Strip sst | 150 mm | 01.06.2000 | 1 | as is where is | ||
91183 | Applied Materials | P5000 CVD | TEOS, DxL | 200 mm | 1 | as is where is | |||
109359 | Applied Materials | HDP-TOP | RF GENERATOR | Spares | 1 | as is where is | |||
110895 | Applied Materials | 0021-79644 | Megtank Roller holder - CMP Cleaner | Spares | 5 | inquire | |||
113199 | Applied Materials | Endura II Liner/Barrier | PVD | 300 mm | 1 | as is where is | |||
109360 | Applied Materials | MARKⅡDPS | RF GENERATOR | Spares | 6 | as is where is | |||
110896 | Applied Materials | 0010-77582 | Idler Assembly - CMP Cleaner | Spares | 5 | inquire | |||
113200 | Applied Materials | Endura II Liner/Barrier | PVD | 300 mm | 1 | as is where is | |||
100913 | Applied Materials | DPS II | AE Poly G3 dry etcher | 300 mm | 31.05.2006 | 1 | as is where is | ||
103473 | Applied Materials | CENTURA DPS G3 | Poly 1ch / Mesa 1ch | 300 mm | 31.05.2007 | 1 | as is where is | ||
109361 | Applied Materials | P-5000 POLY | RF GENERATOR | Spares | 1 | as is where is | |||
110897 | Applied Materials | 0015-77174 | Brush wafer roller motor pulley - CMP Cleaner | Spares | 5 | inquire | |||
100914 | Applied Materials | DPS II | AE Poly G3 dry etcher | 300 mm | 31.05.2007 | 1 | as is where is | ||
103474 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 31.05.2003 | 1 | as is where is | ||
109362 | Applied Materials | RF MATCH3 | RF GENERATOR | Spares | 1 | as is where is | |||
110898 | Applied Materials | 0010-05398 | zaytran assy dry and wet set - CMP Cleaner | Spares | 5 | inquire | |||
114226 | Applied Materials | Semvision CX | Review SEM | 300 mm | 01.06.2000 | 1 | inquire | ||
103475 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 31.05.2005 | 1 | as is where is | ||
110899 | Applied Materials | 0010-77380 | Lower Stopper Assembly - CMP Cleaner | Spares | 5 | inquire | |||
113203 | Applied Materials | Reflexion LK Oxide | Low K Oxide CMP | 300 mm | 1 | as is where is | |||
114227 | Applied Materials | Verity SEM | CD SEM | 300 mm | 01.06.2005 | 1 | inquire | ||
103476 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 31.05.2010 | 1 | as is where is | ||
110900 | Applied Materials | 0010-77323 | Brush gear assy - CMP Cleaner | Spares | 5 | inquire | |||
114228 | Applied Materials | Semvision | Review SEM | 300 mm | 01.06.2001 | 1 | inquire | ||
91189 | Applied Materials | P5000 CVD | DxL | 200 mm | 1 | as is where is | |||
103477 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
110901 | Applied Materials | 0015-77287 | Pulley Driver - CMP Cleaner | Spares | 5 | inquire | |||
114229 | Applied Materials | Semvision G3 Lite | Review SEM | 300 mm | 01.06.2006 | 1 | inquire | ||
103478 | Applied Materials | CENTURA DPS G5 MESA | MESA 3ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
110902 | Applied Materials | 0190-77502 | Vessel, Pressure, 2-Chamber - CMP Cleaner | Spares | 5 | inquire | |||
113206 | Applied Materials | VeritySEM 4i+ | CD SEM | 300 mm | 1 | as is where is | |||
114230 | Applied Materials | Semvision GX | Review SEM | 300 mm | 01.06.2007 | 1 | inquire | ||
110903 | Applied Materials | 0190-77397 | Chem Vessel, 2.4 Liter - CMP Cleaner | Spares | 5 | inquire | |||
114231 | Applied Materials | Semvision GX | Review SEM | 300 mm | 01.06.2007 | 1 | inquire | ||
91192 | Applied Materials | P5000 Mark-II CVD | TEOS | 200 mm | 1 | as is where is | |||
109112 | Applied Materials | Verity-2 | CD MEASUREMENT SEM | 200 mm | 01.06.2004 | 1 | 3 months | ||
114232 | Applied Materials | Semvision G2 | Review SEM | 200 mm SMIF | 01.06.2002 | 1 | inquire | ||
110905 | Applied Materials | 3220-01136 | Coupling shaft, Walking beam Y-axis Motor - CMP Cleaner | Spares | 5 | inquire | |||
114233 | Applied Materials | Reticle NanoSEM 3D | Reticle Inspection SEM | Reticle | 01.06.2004 | 1 | inquire | ||
83514 | Applied Materials | Opal 7830i Enhanced | CD MEASUREMENT SEM FOR 200 mm / 150 mm wafers | 100 mm to 200 mm | 01.05.1997 | 1 | as is where is | immediately | |
103482 | Applied Materials | DPS SILVIA | Silvia 2ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
110906 | Applied Materials | 1010-01437 | Quartz Infrared Halogen Lamp - CMP Cleaner | Spares | 5 | inquire | |||
114234 | Applied Materials | Semvision CX | Review SEM | 200 mm | 1 | inquire | |||
11579 | Applied Materials | 9200 (Spares for) | IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT | 200 mm | 01.06.1999 | 1 | inquire | immediately | |
103483 | Applied Materials | DPS2 | Poly Etcher | 300 mm | 1 | as is where is | |||
108347 | Applied Materials | Centura AP AdvantEdge G5 Poly | Polysilicon Etch | 300 mm | 2 | as is where is | |||
110907 | Applied Materials | 0190-36511 | Devicenet I/O Block Specification - CMP Cleaner | Spares | 5 | inquire | |||
114235 | Applied Materials | Semvision G2 FIB | FIB SEM | 300 mm | 01.06.2004 | 1 | inquire | ||
103484 | Applied Materials | DPS2 | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
110908 | Applied Materials | 0870-01038 | 200V 100W SGDA - CMP Cleaner | Spares | 5 | inquire | |||
114236 | Applied Materials | Semvision CX / Opal 9300 | Review SEM | 200 mm | 01.06.2001 | 1 | inquire | ||
103485 | Applied Materials | DPS2 AE | Poly Etcher | 300 mm | 1 | as is where is | |||
110909 | Applied Materials | 0870-01040 | 200V 200W SGDA - CMP Cleaner | Spares | 5 | inquire | |||
114237 | Applied Materials | Semvision GX | Review SEM | 300 mm | 01.06.2009 | 1 | inquire | ||
103486 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
110910 | Applied Materials | 0870-01039 | 200V 400W SGDA - CMP Cleaner | Spares | 5 | inquire | |||
114238 | Applied Materials | Semvision G2 | Review SEM | 300 mm | 01.06.2003 | 1 | inquire | ||
91199 | Applied Materials | P5000 Mark-II CVD+PVD | TEOS 2Ch, SPUTTER 2Ch | 200 mm | 01.05.1997 | 1 | as is where is | ||
103487 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
107327 | Applied Materials | Vectra Match | RF Match | Spares | 1 | inquire | immediately | ||
110911 | Applied Materials | 1140-01353 | Power Supply, DC 24V 4A 100W 115/230VAC SDN 4-24-100 (SL4) - CMP Cleaner | Spares | 5 | inquire | |||
103488 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
110912 | Applied Materials | 1140-01367 | Power Supply, DC 24V 5A 120W 115/230VAC SDN 5-24-100 (SL5) - CMP Cleaner | Spares | 5 | inquire | |||
91201 | Applied Materials | P5000 Mark-II CVD+Etch | TEOS 2Ch, Sputter 2Ch | 200 mm | 01.05.2000 | 1 | as is where is | ||
103489 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
110913 | Applied Materials | 1140-01368 | Power Supply, DC 24V 10A 240W 115/230VAC SDN 10-24-100 (SL10) - CMP Cleaner | Spares | 5 | inquire | |||
103490 | Applied Materials | DPS2 AE | Poly Mesa 3ch / Axiom 1ch | 300 mm | 1 | as is where is | |||
110914 | Applied Materials | 0010-77682 | Megasonics Lower Electronics - CMP Cleaner | Spares | 5 | inquire | |||
103491 | Applied Materials | DPS2 AE | MESA (Dry Etch) | 300 mm | 1 | as is where is | |||
110915 | Applied Materials | 0010-77680 | SRD Lower Electronics - CMP Cleaner | Spares | 5 | inquire | |||
91204 | Applied Materials | P5000 WCVD | WxL | 200 mm | 1 | as is where is | |||
103492 | Applied Materials | DPS2 AE | MINOS (Dry Etch) | 300 mm | 31.05.2005 | 1 | as is where is | ||
110916 | Applied Materials | 0010-77681 | System Lower Electronics - CMP Cleaner | Spares | 5 | inquire | |||
103493 | Applied Materials | DPS2 AE | MINOS (Dry Etch) | 300 mm | 31.05.2006 | 1 | as is where is | ||
110661 | APPLIED MATERIALS | UVISION 5 | Bright Field Inspection | 300 mm | 01.06.2011 | 1 | as is where is | immediately | |
110917 | Applied Materials | 0190-06673 | Fabs Robot Assy - CMP FABS | Spares | 5 | inquire | |||
103494 | Applied Materials | DPS2 AE | POLY MESA (Dry Etch) | 300 mm | 01.05.2013 | 1 | as is where is | immediately | |
110918 | Applied Materials | 3350-50000 | Fabs Robot Ceramic Blade - CMP FABS | Spares | 5 | inquire | |||
103495 | Applied Materials | DPS2 AE | MESA (Dry Etch) | 300 mm | 01.06.2014 | 1 | as is where is | ||
110919 | Applied Materials | Mirra Mesa (Spare parts) | RORZE RD-023MS motor driver - CMP FABS | Spares | 5 | inquire | |||
103496 | Applied Materials | DPS2 AE | MESA (Dry Etch) | 300 mm | 01.06.2014 | 1 | as is where is | immediately | |
110920 | Applied Materials | Mirra Mesa (Spare parts) | RC233 Generate master - CMP FABS | Spares | 5 | inquire | |||
110921 | Applied Materials | Mirra Mesa (Spare parts) | RC204A I/O master - CMP FABS | Spares | 5 | inquire | |||
110922 | Applied Materials | Mirra Mesa (Spare parts) | RD-026MSA Step driver - CMP FABS | Spares | 5 | inquire | |||
110923 | Applied Materials | Mirra Mesa (Spare parts) | SANYO DENKI, Stepping Motor, Substitute loadport lifter motor - CMP FABS | Spares | 5 | inquire | |||
110924 | Applied Materials | 0100-13016 | Assembly, PCB, Controller I/O Backplane PCB for MIRRA Controller - CMP controller | Spares | 5 | inquire | |||
110925 | Applied Materials | ModelUDK5128NA | Head Sweep Driver - CMP controller | Spares | 5 | inquire | |||
109134 | Applied Materials | Centura 5200 Ti/TiN MCVD | Metal CVD (Chemical Vapor Deposition) | 200mm | 1 | as is where is | |||
110926 | Applied Materials | 1110-01058 | Port Server -Digi EL16 with PS - CMP controller | Spares | 5 | inquire | |||
114254 | Applied Materials | MIRRA 3400 Integrated | CMP Polishing system configured for use with a DNS-AS2000 cleaner (Also available for purchase) | 200 mm | 01.08.1998 | 1 | as is where is | immediately | |
109135 | Applied Materials | Centura 5200 Ti/TiN MCVD | Metal CVD (Chemical Vapor Deposition) | 200mm | 1 | as is where is | |||
110927 | Applied Materials | 0660-01820 | Applied Material MEI Board - CMP controller | Spares | 5 | inquire | |||
110928 | Applied Materials | 0100-09054 | Applied Material AIO Board - CMP controller | Spares | 5 | inquire | |||
106065 | Applied Materials | Mirra ® 3400 | Stand-Alone CMP System | 200 mm | 01.06.2001 | 1 | as is all rebuilt | immediately | |
110929 | Applied Materials | 0100-20003 | DIO board - CMP controller | Spares | 5 | inquire | |||
103506 | Applied Materials | ENDURA CL | PVD | 300 mm | 31.05.2010 | 1 | as is where is | ||
110930 | Applied Materials | 0100-77035 | Robot X Track board - CMP controller | Spares | 5 | inquire | |||
114258 | Applied Materials | MIRRA 3400 Integrated | CMP Polishing system configured for use with a DNS-AS2000 cleaner (Also available for purchase) | 200 mm | 01.05.1999 | 1 | as is where is | immediately | |
109139 | Applied Materials | Centura AP AdvantEdge G5 Metal | Metal Etch | 300mm | 1 | as is where is | |||
110931 | Applied Materials | 0190-14372 | Pad Cond Driver SGDA-01AS - CMP controller | Spares | 5 | inquire | |||
110932 | Applied Materials | SGDB-44ADG | Platen Driver - CMP controller | Spares | 5 | inquire | |||
110933 | Applied Materials | SGDA-04AS | Cross driver - CMP controller | Spares | 5 | inquire | |||
114005 | Applied Materials | Centura | MXP+ Oxide | 200 MM | 01.06.1998 | 1 | as is where is | ||
110934 | Applied Materials | SGDA-02AS | Robot x driver - CMP controller | Spares | 5 | inquire | |||
114006 | Applied Materials | Centura DPS + Metal | Metal 2ch, ASP+ 2ch | 200 MM | 01.06.2000 | 1 | as is where is | ||
106583 | Applied Materials | CENTURA 5200 DPS | Poly Etcher | 200 mm | 01.06.1999 | 1 | as is where is | ||
110935 | Applied Materials | 0870-01080 | Vexta Wet Robot Driver - CMP controller | Spares | 5 | inquire | |||
114007 | Applied Materials | CENTURA DPS 2 | Metal 2ch / ASP 2ch | 300 MM | 01.06.1006 | 1 | as is where is | ||
103512 | Applied Materials | RAIDER | ECD Copper Electroplating System | 300 mm | 31.05.2005 | 1 | as is where is | ||
110936 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 10 Amp - CMP controller | Spares | 5 | inquire | |||
114008 | Applied Materials | CENTURA DPS 2 | Metal 2ch / ASP 2ch | 300 MM | 01.06.2007 | 1 | as is where is | ||
103513 | Applied Materials | RAIDER | ECD Copper Electroplating System | 300 mm | 01.05.2006 | 1 | as is where is | ||
106585 | Applied Materials | ENDURA 2 Chamber Only | ALPS | 300 mm | 1 | as is where is | |||
110937 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 1 Amp - CMP controller | Spares | 5 | inquire | |||
114009 | Applied Materials | CENTURA DPS 2 | Metal 3ch / ASP 1ch | 300 MM | 01.06.2006 | 1 | as is where is | ||
114265 | Applied Materials | Centura 5200 | Dry Etcher, 2 Chamber dps Metal, 2 Chamber asp+ | 200 mm | 01.06.1997 | 1 | as is where is | ||
106586 | Applied Materials | ENDURA 2 Chamber Only | MOALD (IMP TiN) | 300 mm | 1 | as is where is | |||
110938 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 4 Amp - CMP controller | Spares | 5 | inquire | |||
114010 | Applied Materials | CENTURA DPS 2 | Metal 3ch / ASP 1ch | 300 MM | 01.06.2008 | 1 | as is where is | ||
114266 | Applied Materials | Centura 5200 | HDP-CVD, 3 chamber | 200 mm | 01.06.1999 | 1 | as is where is | ||
103515 | Applied Materials | Vantage Hybrid | RTP cluster tool with Server OS Type | 300 mm | 01.12.2014 | 1 | as is where is | immediately | |
106587 | Applied Materials | ENDURA 2 CHAMBER ONLY | PCXT | 300 mm | 01.06.2019 | 1 | as is where is | ||
110939 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 2 Amp - CMP controller | Spares | 5 | inquire | |||
114011 | Applied Materials | CENTURA DPS 2 | Poly 3ch / Axiom 1ch | 300 MM | 01.06.2010 | 1 | as is where is | ||
114267 | Applied Materials | Centura 5200 | HDP-CVD, Ultima , 3 chambers | 200 mm | 01.06.2000 | 1 | as is where is | ||
110684 | Applied Materials | Sting | Dark Field Defect Inspection | 300 mm | 01.06.2009 | 1 | as is where is | immediately | |
110940 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 5 Amp - CMP controller | Spares | 5 | inquire | |||
114012 | Applied Materials | CENTURA DPS 2 | Poly Etcher with 4ch | 300 MM | 01.06.2006 | 1 | as is where is | ||
114268 | Applied Materials | Centura 5200 | HDP-CVD, Ultima Plus, 3 chambers | 200 mm | 01.06.2000 | 1 | as is where is | ||
106589 | Applied Materials | ENDURA 2 Chamber Only | PCXT | 300 mm | 01.06.2018 | 1 | as is where is | ||
110941 | Applied Materials | Mirra Mesa (Spare parts) | Pico Fuse Axial Lead 125V, 7 Amp - CMP controller | Spares | 5 | inquire | |||
114013 | Applied Materials | DPS | Poly Etcher with 3ch | 200 MM | 01.06.2000 | 1 | as is where is | ||
114269 | Applied Materials | Centura DPS | Poly Dry Etch Cluster tool with 2x DPS | 200 mm | 01.06.1997 | 1 | as is where is | ||
110942 | Applied Materials | 0190-03358 | AMAT Power supply SP664 - CMP controller | Spares | 5 | inquire | |||
114014 | Applied Materials | ENDURA 2 | VOLTA XT CO | 300 mm | 1 | as is where is | |||
114270 | Applied Materials | Centura DPS | Poly Dry Etch Cluster tool with 3x DPS | 200 mm | 01.06.1997 | 1 | as is where is | ||
110687 | Applied Materials | Aera 2 | Photomask Inspection System | 300 mm | 01.06.2009 | 1 | as is where is | immediately | |
110943 | Applied Materials | 0110-77037 | Controller Interlock Board 1 - CMP controller | Spares | 5 | inquire | |||
114015 | Applied Materials | ENDURA 6" | TTN *5CH | 150 MM | 01.06.1996 | 1 | as is where is | ||
114271 | Applied Materials | Centura DPS2 | Metal etch (chamber) | 300 mm / 200 mm | 01.06.2001 | 1 | as is where is | ||
106592 | Applied Materials | ENDURA 2 CHAMBER ONLY | RPC | 300 mm | 01.06.2007 | 1 | as is where is | ||
110944 | Applied Materials | 0110-77038 | Controller Interlock Board 2 - CMP controller | Spares | 5 | inquire | |||
114016 | Applied Materials | ENDURA 6" | TTN *5CH | 150 MM | 01.06.1994 | 1 | as is where is | ||
114272 | Applied Materials | Centura e-MAX | Dry etcher, 2Ch Emax | 200 mm | 01.06.2006 | 1 | as is where is | ||
106593 | Applied Materials | ENDURA 2 CHAMBER ONLY | SIP Ti | 300 mm | 1 | as is where is | |||
110945 | Applied Materials | Mirra Mesa (Spare parts) | CPU 3 - CMP controller | Spares | 5 | inquire | |||
114017 | Applied Materials | ENDURA CL | SIP Ti (No Target) | 300 mm | 1 | as is where is | |||
114273 | Applied Materials | Centura II DPS | POLY Dry Etch Cluster tool with 3 X DPS | 200 mm | 01.06.2003 | 1 | as is where is | ||
110946 | Applied Materials | Mirra Mesa (Spare parts) | SEI Board - CMP controller | Spares | 5 | inquire | |||
114018 | Applied Materials | P5000 | 3 Delta SACVD DLH, 1 Mark II | 200 MM | 01.06.1997 | 1 | as is where is | ||
114274 | Applied Materials | Centura II DPS | Poly Dry Etch Cluster tool with 3x DPS | 200 mm | 01.06.2007 | 1 | as is where is | ||
110947 | Applied Materials | Mirra Mesa (Spare parts) | SST dnet board - CMP controller | Spares | 5 | inquire | |||
114019 | Applied Materials | P5000 | 3 PEOX DLH | 200 MM | 1 | as is where is | |||
114275 | Applied Materials | Centura Metal | Dry Etcher, 2 Chamber dps Metal, 2 Chamber asp+ | 200 mm | 01.06.2000 | 1 | as is where is | ||
110948 | Applied Materials | Mirra Mesa (Spare parts) | interface board - CMP controller | Spares | 5 | inquire | |||
114020 | Applied Materials | Producer GT | ACL 3 Twin | 300 MM | 01.06.2008 | 1 | as is where is | ||
114276 | Applied Materials | Centura MXP Etch | Dry etcher, 2CH | 200 mm | 01.06.1997 | 1 | as is where is | ||
108133 | Applied Materials | CENTURA MCVD | WxZ Optima | 200 mm | 01.06.2000 | 1 | as is where is | ||
110949 | Applied Materials | Mirra Mesa (Spare parts) | wet robot power supply - CMP controller | Spares | 5 | inquire | |||
114021 | Applied Materials | PRODUCER SE | UV CURE (CHAMBER ONLY) | 300 mm | 1 | as is where is | |||
114277 | Applied Materials | Centura RTP | RTP, 2 chamber XE and 2 cool down | 200 mm | 01.06.1997 | 1 | as is where is | ||
106598 | Applied Materials | ENDURA 2 Chamber Only | SIP Ti | 300 mm | 1 | as is where is | |||
108134 | Applied Materials | ENDURA 2 CHAMBER Only | EnCoRe1(Cu) Chamber only | 300 mm | 1 | as is where is | |||
110950 | Applied Materials | Mirra Mesa (Spare parts) | light tower pcb - CMP controller | Spares | 5 | inquire | |||
114278 | Applied Materials | Centura RTP | RTP, 2 chamber XE and 2 cool down | 200 mm | 01.06.2004 | 1 | as is where is | ||
106599 | Applied Materials | ENDURA 2 CHAMBER ONLY | TXZ ALD chamber | 300 mm | 1 | as is where is | immediately | ||
108135 | Applied Materials | ENDURA 2 CHAMBER Only | EnCoRe1(Cu) Chamber only | 300 mm | 1 | as is where is | |||
110951 | Applied Materials | Mirra Mesa (Spare parts) | SSD Harddisk - CMP controller | Spares | 5 | inquire | |||
114279 | Applied Materials | Centura RTP | RTP, 2 chamber XE Plus and 2 cool down | 200 mm | 01.06.1999 | 1 | as is where is | ||
93032 | Applied Materials | CENTRIS DPS MESA | Dry Etch, Twin 3chamber | 300 MM | 01.05.2010 | 1 | as is where is | immediately | |
108136 | Applied Materials | ENDURA 2 CHAMBER Only | EnCoRe1(Ta) Chamber only | 300 mm | 1 | as is where is | |||
114280 | Applied Materials | Centura WxZ | Tungsten CVD, 2 Ch WxZ | 200 mm | 01.06.2006 | 1 | as is where is | ||
108137 | Applied Materials | ENDURA 2 CHAMBER Only | EnCoRe1(Ta) Chamber only | 300 mm | 1 | as is where is | |||
114281 | Applied Materials | Endura 5500 | PVD cluster tool with Process chambers for Al, Cr, Cr and 1 chamber not used. | 200 mm | 01.06.2001 | 1 | as is where is | ||
93034 | Applied Materials | CENTURA ENABLER E2 | Oxide Etcher /server OS PC | 300 MM | 31.05.2007 | 1 | as is where is | ||
106602 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only | 300 mm | 1 | as is where is | |||
108138 | Applied Materials | ENDURA 2 CHAMBER Only | PCXT Chamber only | 300 mm | 01.06.2019 | 1 | as is where is | ||
114282 | Applied Materials | Endura 5500 | PVD cluster tool with Process chambers for MoSi, Al, TiW, TiW | 200 mm | 01.06.1996 | 1 | as is where is | ||
93035 | Applied Materials | CENTURA ENABLER E5 | Oxide Etcher /server OS PC | 300 MM | 31.05.2010 | 1 | as is where is | ||
114283 | Applied Materials | Endura 5500 | PVD cluster tool with Process chambers for Ti, Al, Ti, TiN | 200 mm | 01.06.1995 | 1 | as is where is | ||
106605 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only | 300 mm | 1 | as is where is | |||
108141 | Applied Materials | ENDURA CL Chamber Only | ESIP TAN (No Target) Chamber only | 300 mm | 1 | as is where is | |||
110701 | Applied Materials | P5000 | Poly Etcher 2 Chamber | 150 mm | 01.06.1995 | 2 | as is where is | immediately | |
106606 | Applied Materials | ENDURA CL Chamber Only | Degas Chamber only | 300 mm | 1 | as is where is | |||
113008 | Applied Materials | CENTURA | DXZ | 200 mm | 1 | as is where is | |||
106609 | Applied Materials | ENDURA CL Chamber Only | PRECLEAN CHAMBER | 300 mm | 01.06.2002 | 1 | as is where is | immediately | |
113009 | Applied Materials | CENTURA DPS 2 | Metal 2ch / ASP 2ch | 300 mm | 01.06.2011 | 1 | as is where is | ||
106610 | Applied Materials | ENDURA CL Chamber Only | RPC | 300 mm | 01.06.2002 | 1 | as is where is | immediately | |
113010 | Applied Materials | CENTURA DPS 2 | Metal 2ch / ASP 2ch | 300 mm | 01.06.2007 | 1 | as is where is | ||
108147 | Applied Materials | PRODUCER SE | Ht_SiN 2ch / Server OS Type | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
70004 | Applied Materials | Baccini | Misc parts For Baccini Solar Line - see attached list | Spares | 1 | as is where is | immediately | ||
113012 | Applied Materials | CENTURA DPS2 G3 | Poly 2ch / Mesa 1ch | 300 mm | 01.06.2001 | 1 | as is where is | ||
108149 | Applied Materials | PRODUCER SE CHAMBER | PECVD Silane Chamber Only | 300 mm | 1 | as is where is | |||
106614 | Applied Materials | ENDURA2 VOLTA CO | CHAMBER QTY 2 SETS | 300 mm | 1 | as is where is | |||
108150 | Applied Materials | PRODUCER SE CHAMBER | PECVD TEOS Chamber Only | 300 mm | 1 | as is where is | immediately | ||
106615 | Applied Materials | G5-MESA | DRY ETCH EFEM | 300 MM | 1 | as is where is | |||
113015 | Applied Materials | CENTURA DPS2 G5 | Metal 3ch, Axiom 1ch | 300 mm | 01.06.2008 | 1 | as is where is | ||
106616 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER | 300 mm | 1 | as is where is | |||
110712 | Applied Materials | Centura 5200 HTF Epitaxial | 3 Chamber Atmospheric Epitaxial Deposition system | 200 MM | 01.06.1996 | 1 | as is where is | immediately | |
113016 | Applied Materials | CENTURA DPS2 G5 MESA | Poly 3ch / AXIOM 1ch / Server OS Type | 300 mm | 01.06.2011 | 1 | as is where is | immediately | |
110713 | Applied Materials | Centura 5200 HTF Epitaxial | 3 Chamber Atmospheric Epitaxial Deposition system | 200 MM | 01.06.1999 | 1 | as is where is | immediately | |
113017 | Applied Materials | CENTURA MCVD | WxZ Optima | 200 mm | 01.06.2000 | 1 | as is where is | ||
106618 | Applied Materials | MESA CHAMBER ONLY | DRY ETCH CHAMBER | 300 mm | 1 | as is where is | |||
113018 | Applied Materials | CENTURA MCVD | WxZ Optima | 200 mm | 01.06.2002 | 1 | as is where is | ||
93051 | Applied Materials | P5000 | WXL | 150 mm | 31.05.1994 | 1 | as is where is | ||
113019 | Applied Materials | CENTURA PVD | Ti 2CH, Cu 1CH, Preclean 1CH | 200 mm | 01.06.2005 | 1 | as is where is | ||
110716 | Applied Materials | P5000 Mark 2 | 2 Chambers TEOS PECVD and 2 Chambers Dry Etch | 150 MM | 01.06.2000 | 1 | as is where is | immediately | |
113020 | Applied Materials | DPS2 G5-MESA EFEM ONLY | 300 mm | 1 | as is where is | ||||
106621 | Applied Materials | P5000 | Delta Teos 3ch, Sputter 1ch | 150 mm | 01.06.1996 | 1 | as is where is | ||
110717 | Applied Materials | P5000 | 3 Chambers PECVD TEOS | 150 MM | 01.06.1996 | 1 | as is where is | immediately | |
113021 | Applied Materials | ENDURA 2 (Blue Rack) | ALPS ESI 2CH, PcXT 1CH, Degas (STD) 2CH | 300 mm | 01.06.2005 | 1 | as is where is | ||
106622 | Applied Materials | P5000 | Delta Teos 3ch, Sputter 1ch | 200 mm | 01.06.1998 | 1 | as is where is | ||
113022 | Applied Materials | ENDURA 2 (Gray Rack) | AL 2CH, TTN 1CH, SIP 2CH, ALPS 1CH, PcXT 1CH, Degas (STD) 2CH | 300 mm | 01.06.2007 | 1 | as is where is | ||
106623 | Applied Materials | P5000 | DxL 2ch | 200 mm | 01.06.1996 | 1 | as is where is | ||
113023 | Applied Materials | ENDURA 2 (Gray Rack) | SIP 1CH, ALPS 3CH, PcXT 2CH, Degas (DMD) 2CH | 300 mm | 01.06.2007 | 1 | as is where is | ||
106624 | Applied Materials | PRODUCER GT | ACL 1ch / Server X | 300 mm | 1 | as is where is | |||
113024 | Applied Materials | P5000 | 2 MARK II | 150 mm | 01.06.1990 | 1 | as is where is | ||
113025 | Applied Materials | P5000 | 2 MARK II Oxide | 200 mm | 1 | as is where is | |||
114049 | Applied Materials | Centura AP AdvantEdge G5 Mesa Poly | Polysilicon Etch | 300 mm | 1 | as is where is | |||
113026 | Applied Materials | P5000 | 2 Metal | 1 | as is where is | ||||
114050 | Applied Materials | Centura AP AdvantEdge G5 Metal | Metal Etch | 300 mm | 1 | as is where is | |||
113027 | Applied Materials | P5000 | 2 MXP oxide | 200 mm | 1 | as is where is | |||
113283 | Applied Materials | Verity 6i | CD-SEM | 200 mm | 01.06.2014 | 1 | as is where is | 2 months | |
114051 | Applied Materials | Centura AP DPS AdvantEdge G2 Metal | Metal Etch | 300 mm | 1 | as is where is | |||
113028 | Applied Materials | P5000 | 2 Teos DLH, 2 MARK II | 200 mm | 01.06.1998 | 1 | as is where is | ||
114052 | Applied Materials | Centura AP DPS AdvantEdge G2 Poly | Polysilicon Etch | 300 mm | 1 | as is where is | |||
91269 | Applied Materials | CENTURA 5200 | MxP Poly | 200 mm | 1 | as is where is | |||
113029 | Applied Materials | P5000 | 3 DxZ | 200 mm | 01.06.1998 | 1 | as is where is | ||
114053 | Applied Materials | Centura AP DPS AdvantEdge G2 Poly | Polysilicon Etch | 300 mm | 1 | as is where is | |||
113030 | Applied Materials | P5000 | 3 Teos DLH, 1 MARK II | 150 mm | 01.06.1996 | 1 | as is where is | ||
114054 | Applied Materials | Centura AP DPS AdvantEdge G2 Poly | Polysilicon Etch | 300 mm | 1 | as is where is | |||
36487 | APPLIED MATERIALS | P-5000 DxL | DxL, TEOS | 150mm | 1 | inquire | |||
114055 | Applied Materials | Centura AP DPS AdvantEdge G2 Poly | Polysilicon Etch | 300 mm | 1 | as is where is | |||
101768 | Applied Materials | 0010-00557 REV A | Heat Exchanger | FACILITIES | 31.08.1988 | 1 | as is where is | immediately | |
113032 | Applied Materials | VANTAGE RADIANCE | RTP | 300 mm | 01.06.2002 | 1 | as is where is | ||
114056 | Applied Materials | Centura SiNgen Chamber | LPCVD | 200 mm | 1 | as is where is | |||
106633 | Applied Materials | PRODUCER SE | CH_A_ACL / CH_B_PE-Sin / CH_C_PE-Teos / Server OS Type | 300 mm | 01.06.2004 | 1 | as is where is | immediately | |
114057 | Applied Materials | ComPLUS MP | Darkfield Inspection | 200 mm | 1 | as is where is | |||
114058 | Applied Materials | ComPLUS MP | Darkfield Inspection | 200 mm | 1 | as is where is | |||
114059 | Applied Materials | Endura II Aluminum Interconnect | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | |||
114060 | Applied Materials | Endura II Chambers: Multiple | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | |||
91277 | Applied Materials | Centura DPS II CHAMBER | Chamber only | 300 mm | 1 | as is where is | |||
106637 | Applied Materials | ENDURA 2 Chamber Only | (IMP Ti) | 300 mm | 1 | as is where is | |||
114061 | Applied Materials | Endura II Front-End Metallization | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | immediately | ||
106638 | Applied Materials | ENDURA 2 Chamber Only | EXTENSA Ti | 300 mm | 01.06.2008 | 1 | as is where is | ||
114062 | Applied Materials | Endura II Front-End Metallization | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | |||
91279 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | ||
114063 | Applied Materials | Endura II Front-End Metallization | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | |||
91280 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | ||
114064 | Applied Materials | Endura II Front-End Metallization | PVD (Physical Vapor Deposition) | 300 mm | 1 | as is where is | |||
91281 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | ||
114065 | Applied Materials | Oasis Clean | Batch Wafer Processing | 300 mm | 01.01.2004 | 1 | as is where is | immediately | |
91282 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | ||
114066 | Applied Materials | Oasis Clean | Batch Wafer Processing | 300 mm | 01.01.2005 | 1 | as is where is | immediately | |
91283 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 31.05.2008 | 1 | as is where is | ||
114067 | Applied Materials | Oasis Clean | Batch Wafer Processing | 300 mm | 01.01.2005 | 1 | as is where is | immediately | |
91284 | Applied Materials | Etch dry chamber Only | AXIOM, DPS | 300 mm | 1 | as is where is | |||
114068 | Applied Materials | Producer GT PECVD Silane | PECVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | |||
91285 | Applied Materials | Etch dry chamber Only | AXIOM, DPS | 300 mm | 1 | as is where is | |||
114069 | Applied Materials | Producer GT PECVD TEOS | PECVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | immediately | ||
114070 | Applied Materials | Producer GT3 PECVD TEOS | PECVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | |||
91287 | Applied Materials | P5000 | DELTA DLH | 150 mm | 31.05.1993 | 1 | as is where is | ||
114071 | Applied Materials | Producer GT3 PECVD TEOS | PECVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | |||
18840 | APPLIED MATERIALS | 0230-00101 | Precision etch 8300 | 1 | as is where is | ||||
114072 | Applied Materials | Producer GT3 PECVD TEOS | PECVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | |||
18841 | APPLIED MATERIALS | 0230-09130 | Precision 5000 Mark II spare part identified | 1 | as is where is | ||||
105881 | Applied Materials | Reflexion GT | CMP system with integrated cleaner | 300 MM | 01.05.2011 | 1 | as is where is | immediately | |
114073 | Applied Materials | Reflexion LK - Poly/STI | Poly/STI CMP | 300 mm | 1 | as is where is | |||
18842 | APPLIED MATERIALS | 0230-09259 | Precision 5000 Mark II Mainframe and support equipment manual | 1 | as is where is | ||||
114074 | Applied Materials | Reflexion LK Copper | Copper CMP | 300 mm | 1 | as is where is | |||
18843 | APPLIED MATERIALS | 079-1202-0D | PR 5000 Advanced prev. And corrective main | 1 | as is where is | ||||
108699 | Applied Materials | Oasis | HF Wafer cleaning system | 300 MM | 01.06.2006 | 1 | as is where is | immediately | |
114075 | Applied Materials | Reflexion LK Oxide | Dielectric CMP | 300 mm | 1 | as is where is | |||
4252 | Applied Materials | 0230-09130 | P5000 SPARE PARTS IDENTIFIER | Spares | 01.01.1992 | 1 | as is where is | ||
18844 | APPLIED MATERIALS | 026-110-0B1 | PR 5000 Func desc. Practice exercici and basic prev. maintenance procedures | 1 | as is where is | ||||
114076 | Applied Materials | VeritySEM 4i+ | SEM - Critical Dimension (CD) Measurement | 300 mm | 1 | as is where is | |||
108701 | Applied Materials | Centura 5200 High K CVD Process Chamber | CVD Process Chamber | 200 mm | 1 | as is where is | immediately | ||
113309 | Applied Materials | Centura AP Enabler E5 | Dielectric Etcher with 4 process chambers | 300 mm | 01.04.2010 | 1 | as is where is | immediately | |
114077 | Applied Materials | VeritySEM 4i+ | SEM - Critical Dimension (CD) Measurement | 300 mm | 1 | as is where is | |||
114078 | Applied Materials | VeritySEM 4i+ | SEM - Critical Dimension (CD) Measurement | 300 mm | 1 | as is where is | |||
108705 | Applied Materials | Centura 5200 MxP Chamber | MxP Etching Chamber | 200 mm | 1 | inquire | immediately | ||
111521 | Applied Materials | Endura CL | PVD | 300 mm | 01.06.2001 | 1 | as is where is | ||
110767 | Applied Materials | Mirra 3400 Ontrak | CMP Polisher with Cleaning System | 200 mm | 01.05.1997 | 1 | as is where is | immediately | |
111539 | Applied Materials | 0040-21696B | ESC and heater | 8 inch | 1 | as is where is | immediately | ||
91316 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 1 | as is where is | |||
91317 | Applied Materials | CENTURA ENABLER | Oxide Etcher /server OS PC | 300 mm | 1 | as is where is | |||
106944 | Applied Materials | Endura 5500 (spare parts) | Endura 6" process kit for Degas Chamber, NEW, in AMAT box , including 0020-28140 and other parts | 150 mm | 01.11.2001 | 1 | as is where is | immediately | |
112576 | Applied Materials | 0010-84457 | 300mm ceramic producer heater with Certificate | 300 mm | 1 | inquire | immediately | ||
112577 | Applied Materials | 0010-87952 | 300mm ceramic producer heater with Certificate | 300 mm | 1 | inquire | immediately | ||
112578 | Applied Materials | 0010-59788 | 300mm ceramic producer heater with Certificate | 300 mm | 1 | inquire | immediately | ||
112579 | Applied Materials | 0010-87952 | 300mm ceramic producer heater with Certificate | 300 mm | 1 | inquire | immediately | ||
112580 | Applied Materials | 0010-53901 | 300mm ceramic producer heater with Certificate | 300 mm | 1 | inquire | immediately | ||
112581 | Applied Materials | 0010-24456 | Fully refurbished 300 mm MCA E-Chuck assembly with QC report | 300 mm | 1 | inquire | immediately | ||
112582 | Applied Materials | 0010-27430 | Fully Refurbished 300 mm MCA E-Chuck assembly with QC report | 300 mm | 1 | inquire | immediately | ||
112583 | Applied Materials | 0042-11389 | 300mm AL heater with Certificate | 300 mm | 1 | inquire | immediately | ||
112584 | Applied Materials | 0010-28715 | Fully Refurbished 300 mm TXZ ECS Heater with QC report | 300 mm | 1 | inquire | immediately | ||
111054 | Applied Materials | 0040-55456 | BELLJAR, 21 OD 300MM PC XT/XTE - PC-XT | Spares | 5 | inquire | |||
111055 | Applied Materials | 0200-01903 | INSULATOR PEDESTAL QUARTZ 300MM PCII - PC-XT | Spares | 5 | inquire | |||
111056 | Applied Materials | 0020-19258 | PEDESTAL TITANIUM 300MM PCII - PC-XT | Spares | 5 | inquire | |||
112080 | Applied Materials | Centura | CVD EPI + SiCoNi | 300 mm | 01.06.2011 | 1 | as is where is | ||
111057 | Applied Materials | 0021-19342 | SHIELD INNER 300MM PCII/RPC+ - PC-XT | Spares | 5 | inquire | |||
112081 | Applied Materials | Centura | CVD RP EPI + SiCoNi | 300 mm | 01.06.2010 | 1 | as is where is | ||
110802 | Applied Materials | Endura | IMP Ti / TiN Physical Vapor Deposition System | 200 mm | 01.06.2000 | 1 | as is where is | immediately | |
111058 | Applied Materials | 0040-86514 | SHIELD, LOWER 300MM PCXT/RPC+ - PC-XT | Spares | 5 | inquire | |||
112082 | Applied Materials | Centura 4.0 Radiance | RTP with 2 x Radiance Plus Toxic ATM chambers | 300 mm | 01.06.2001 | 1 | as is where is | ||
93395 | Applied Materials | UVision 600SP | DUV Brightfield Wafer Defect Inspection System | 300 mm | 01.06.2008 | 1 | as is where is | immediately | |
111059 | Applied Materials | 0040-07291 | DEPOSITION RING ADV 101 300MM - DSTTN | Spares | 5 | inquire | |||
112083 | Applied Materials | Centura 4.0 Radiance | RTP with 2 x Radiance Plus Toxic ATM chambers | 300 mm | 01.06.2002 | 1 | as is where is | ||
111060 | Applied Materials | 0021-26609 | SHUTTER DISK, A101, TTN, CENTER PIN, BLUE, 300MM - DSTTN | Spares | 5 | inquire | |||
112084 | Applied Materials | Centura AP eMAX CT+ | Etch OX emax CT Plus 3 chambers | 300 mm | 01.06.2007 | 1 | as is where is | ||
111061 | Applied Materials | 0021-22064 | COVER RING, TTN, 300MM PVD - DSTTN | Spares | 5 | inquire | |||
112085 | Applied Materials | Centura AP ISPRINT | CVD VIA W, with 4 chambers | 300 mm | 01.06.2006 | 1 | as is where is | ||
111062 | Applied Materials | 0021-22065 | SHIELD, LOWER HI-COND TTN, 300MM PVD - DSTTN | Spares | 5 | inquire | |||
112086 | Applied Materials | Centura Avatar (Chamber) | Etch OX Chamber only, position D | 300 mm | 01.06.2016 | 1 | as is where is | ||
110807 | Applied Materials | 0240-31390 | HCLU Load Cup Assy - CMP Polisher and Lower Mirra | Spares | 5 | as is all rebuilt | 2 months | ||
111063 | Applied Materials | 0021-21234 | SHIELD, UPPER HI-COND TIN LONG, 300MM PVD - DSTTN | Spares | 5 | inquire | |||
112087 | Applied Materials | Centura Axiom Chamber | Etch Strip Chamber only, without s/n | 300 mm | 01.06.2004 | 1 | as is where is | ||
110808 | Applied Materials | 0010-77153 | Wet Robot Assy - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
111064 | Applied Materials | 0021-26565 | SHUTTER DISK - Aluminum | Spares | 5 | inquire | |||
112088 | Applied Materials | Centura DPS Metal 4 chamber | Metal Etch DPS Metal*2CH, ASP+*2CH, Steelhead1 Chiller*3 | 200 mm | 01.06.2000 | 1 | as is where is | ||
110809 | Applied Materials | 1080-01170 | Platen Motor Assy - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
111065 | Applied Materials | 0021-45259 | SHIELD, 1-PIECE, BEADBLAST, SST, STD PVD - Aluminum | Spares | 5 | inquire | |||
111577 | Applied Materials | Endura (Chamber) | Process chamber (Suitable for spares use) | 300 mm | 01.01.2009 | 2 | as is where is | immediately | |
112089 | Applied Materials | Centura DPS2 4 chamber | Etch Poly 4x (D4) SILICON DPS II chamber,12 gas line ( Standard gas Line ) | 300 mm | 01.06.2005 | 1 | as is where is | ||
110810 | Applied Materials | 0190-14344 | NSK Motor/driver Assy - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
111066 | Applied Materials | 0021-84173 | COVER RING - Aluminum | Spares | 5 | inquire | |||
112090 | Applied Materials | Centura DPS2 532 Metal 3 chamber | Etch Metal with EFEM (Yaskawa, NT), TM, 2xDPS532, 1xAxiom, AC Rack, | 300 mm | 01.06.2006 | 1 | as is where is | ||
106203 | Applied Materials | Mirra 3400 Stand-Alone | Oxide/STI CMP | 200 mm | 01.06.1998 | 1 | inquire | ||
110811 | Applied Materials | Mirra Mesa (Spare Parts) | Cable from polisher to controller - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
111067 | Applied Materials | 0200-07492 | DEPOSITION RING - Aluminum | Spares | 5 | inquire | |||
112091 | Applied Materials | Centura DPS2 AdvantEdge G5 Mesa HP 4 chamber | Etch Poly with EFEM(Server, Single Kawasaki), 3x Mesa HP, Axiom Chamber, AC Rack, Generator Rack, Accessories | 300 mm | 01.06.2014 | 1 | as is where is | ||
112603 | Applied Materials | Centura DPS | Metal etcher with 2 x DPS chambers and 2 x clean chambers | 200 mm | 01.06.2000 | 1 | as is where is | immediately | |
110812 | Applied Materials | Mirra Mesa (Spare Parts) | Head Spindle - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112092 | Applied Materials | Centura DPS2 AdvantEdge G5 Mesa HP 4 chamber | Etch Poly with EFEM(Server, Single Kawasaki), 3x Mesa HP, Axiom Chamber, AC Rack, Generator Rack, Accessories | 300 mm | 01.06.2015 | 1 | as is where is | ||
112604 | Applied Materials | Centura EPi SiCoNi Reduced Pressure | Reduced Pressure 2 x EPI deposition with1 x SiCoNi chamber | 300 mm | 01.06.2010 | 2 | as is where is | immediately | |
110813 | Applied Materials | 0040-77041 | Mirra teflon Coated upper polish platen - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112093 | Applied Materials | Centura DPS2 Metal 3 chamber | Etch Metal with EFEM(Kawasaki, Server), TM, 3x G2 Metal, AC Rack, 1x Side Storage, 2x SMC Chiller, 3x Cathode Chiller, Utility Box, Tote | 300 mm | 01.06.2005 | 1 | as is where is | ||
112605 | Applied Materials | Centura RTP XE+ | RTP system with TPCC XE+ RP AB: Gate-ox (DPN+RTO);C:Singen Spacer | 200 mm | 01.06.2000 | 1 | as is where is | immediately | |
109534 | Applied Materials | 990-004763-015 | Pad Con Analog Pneumatic Unit | Spares | 1 | as is where is | immediately | ||
110814 | Applied Materials | 3080-01119 | Platen Motor Belt - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112094 | Applied Materials | Centura DPS2 Metal 4 chamber | Etch Metal with Windows NT, DPS2 Metal x2CH, ASP2 x2CH | 300 mm | 1 | as is where is | |||
106207 | Applied Materials | 0240-20611 REV E | KIT SLIT VALVE PER CHAMBER REV E | Spares | 01.06.1999 | 1 | as is where is | immediately | |
109535 | Applied Materials | 990-004763-015 (Upgrade) | Upgrade for Pad Con Analog Pneumatic Assembly | Spares | 1 | as is where is | immediately | ||
110815 | Applied Materials | 0190-17083 | Platen Polish Bearing - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112095 | Applied Materials | Centura DPS2 Poly 4 chamber | Etch Poly with EFEM(Kawasaki, Server), TM, 3x G5, 1x Axiom(No VODM), AC Rack, Chiller | 300 mm | 01.06.2006 | 1 | as is where is | ||
91616 | Applied Materials | ENDURA CL Chamber Only | AL | 300 mm | 1 | as is where is | |||
106208 | Applied Materials | 0040-13659 REV P1 | BLOCK, MTG | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110816 | Applied Materials | 3970-00030 | Platen Gear Box/ Head - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112096 | Applied Materials | Centura DPS2 Poly 4 chamber | Etch Poly with EFEM, TM, 3x DPS2 Poly; 1x Axiom, AC Rack, Side Storage, EE-EAS-12 | 300 mm | 01.06.2007 | 1 | as is where is | ||
106209 | Applied Materials | 0020-21089 | SHIELD | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110817 | Applied Materials | 0190-77114 | Yaskawa Cross Servo Motor Model: SGM-04UWB4L - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112097 | Applied Materials | Centura DPS2 Poly 4 chamber | Etch Poly with EFEM(Kawasaki, Server), TM, 3x G5 Poly, 1x Axiom, AC Rack, Chiller | 300 mm | 01.06.2007 | 1 | as is where is | ||
91618 | Applied Materials | ENDURA CL Chamber Only | Chamber 1 (Ver. 001) CPI-VMO | 300 mm | 1 | as is where is | |||
106210 | Applied Materials | 0190-20015 REV E | GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR | Spares | 01.06.1998 | 1 | as is where is | immediately | |
110818 | Applied Materials | 0010-12344 | Pad cond Assembly - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112098 | Applied Materials | Centura DPS2 Poly 3 chamber | Etch Poly with EFEM, TM, 2x DPS2 Poly, 1x Axiom, AC Rack | 300 mm | 01.06.2006 | 1 | as is where is | ||
106211 | Applied Materials | 0050-20072 REV B | GAS LINE CHAMBER 3 HEATER MFC 1 VCR | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110819 | Applied Materials | 0190-77016 | Pad cond sweep motor (Yaskawa SGM-01U3B4L) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112099 | Applied Materials | Centura DT Hart 3 chamber | Etch Oxide with EFEM, TM, 3x DT Hart, AC Rack, Chiller | 300 mm | 01.06.2002 | 1 | as is where is | ||
106212 | Applied Materials | 0050-76652 REV A | GAS LINE CHAMBER 2 PROCESS MFC 19 MIXED | Spares | 01.06.1999 | 1 | as is where is | immediately | |
106980 | Applied Materials | Reflexion | CMP system | 300 mm | 1 | inquire | immediately | ||
110820 | Applied Materials | 0190-77015 | Pad cond rotation motor (Yaskawa SGM-01U3B4CL) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112100 | Applied Materials | Centura Enabler Chamber | Etch Oxide Chamber only | 300 mm | 01.06.2006 | 1 | as is where is | ||
106213 | Applied Materials | 0020-20626 REV C | BLOCK MOUNTING SHUT-OFF VALVE | Spares | 01.06.1999 | 2 | as is where is | immediately | |
106981 | Applied Materials | Reflexion LK | CMP system | 300 mm | 1 | inquire | immediately | ||
109541 | Applied Materials | Centura AP DPS 2 G5 | Polysilicon etcher, 3 chamber | 300 mm | 01.08.2008 | 1 | as is where is | immediately | |
110821 | Applied Materials | 0010-77721 | Wet Robot Blade - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112101 | Applied Materials | Centura Enabler Chamber | Etch Oxide Chamber only | 300 mm | 01.06.2006 | 1 | as is where is | ||
106214 | Applied Materials | 0090-20042 REV D | ASSY 3 WAY VALVE | Spares | 01.06.1999 | 5 | as is where is | immediately | |
106982 | Applied Materials | Mesa | CMP cleaning system | 300 mm | 1 | inquire | immediately | ||
109542 | Applied Materials | Centura DPS II Advantedge POLY | POLY ETCHER, 4 CHAMBER | 300 mm | 01.06.2010 | 1 | as is where is | immediately | |
110822 | Applied Materials | 0020-78177 | Wet Robot blade gasket - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112102 | Applied Materials | CHARGER | PVD METAL with 3 x PVD, 2 x PC, missing robot controller, PC | 300 mm | 01.06.2012 | 1 | as is where is | ||
94439 | Applied Materials | Centura WCVD | WxZ Optima | 200 mm | 1 | as is where is | |||
106215 | Applied Materials | 0020-20483 REV E | HUB LAMP CORNER COVER | Spares | 01.06.1998 | 2 | as is where is | immediately | |
106983 | Applied Materials | Desica | CMP Cleaning system | 300 mm | 1 | inquire | immediately | ||
109543 | Applied Materials | Centura AP DPS 2 Advantedge Mesa | Polysilicon Etcher with 4 chambers | 300 mm | 01.08.2006 | 1 | as is where is | immediately | |
110823 | Applied Materials | 0190-16236 | Wet robot -Wrist Motor - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112103 | Applied Materials | DPS2 532 Metal Chamber | Etch Metal Chamber Only | 300 mm | 01.06.2004 | 1 | as is where is | ||
106216 | Applied Materials | 0140-20502 REV D | HARN ASSY AC 2-PHASE DRIVER | Spares | 01.06.1999 | 1 | as is where is | immediately | |
106984 | Applied Materials | Kawasaki 4.0 | Fab Interface Module | 300 mm | 1 | inquire | immediately | ||
109544 | Applied Materials | Centura 5200 AP DPS2 Advantedge Carina Mesa | Dry Etch Cluster Tool - 2 CHAMBER - METAL ETCH PROCESS | 300 mm | 01.05.2013 | 1 | as is where is | immediately | |
110824 | Applied Materials | 0190-16235 | Wet robot - Shoulder Motor - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112104 | Applied Materials | Electra Cu | ECD Copper Electroplating with mainframe, 1 x loadport and 3 x ECD cells | 300 mm | 01.06.2000 | 1 | as is where is | ||
106217 | Applied Materials | 0020-20523 | COVER | Spares | 01.06.1999 | 1 | as is where is | immediately | |
109545 | Applied Materials | Centura AP DPS II Advantedge | Polysilicon Etcher with 4 chambers | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
110825 | Applied Materials | 0190-17944 | Wet robot -Z-Axis (Up and Down Motion) Motor Assembly - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112105 | Applied Materials | Endura 5500 | PVD cluster tool with NBLL/, HP, Ni-V x 1 , Ti x 1 , Mo x 1 , ALSi x 1 , AgAu x 1 ,Orienter Degas x 2 | 150 mm | 01.06.1995 | 1 | as is where is | ||
106218 | Applied Materials | 0240-70416 REV C | KIT BLOW OUT VALVE PER CHAMBER | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110826 | Applied Materials | 0100-77069 | ISRM Module - Full Scan (77069) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112106 | Applied Materials | Endura 5500 | PVD cluster tool with NBLL, Orienter Degas x2 CH1 Durasource TN HP101 CH2 Durasource TN B101 CH3 Durasource TN B101 CH4 Durasource TN B101 CHD Durasource TN HP101 CHC Pre-clean CHA Passthrough CHB Passthrough ***Vita ctrl | 150 mm | 01.06.1996 | 1 | as is where is | immediately | |
106219 | Applied Materials | 0190-20015 REV E | GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110827 | Applied Materials | 0100-00845 | laser diode mdl 390a-670-5 - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112107 | Applied Materials | Endura CL | PVD cluster tool with EFEM(2 Ports, Kensington), XP Robot, 2x Degas, 1x TTN, 1x AL, 1x ALPS, CryoPumps, Chiller | 300 mm | 01.06.2000 | 1 | as is where is | ||
106220 | Applied Materials | 0190-20015 REV D | VALVE / MANIFOLD | Spares | 01.06.1998 | 1 | as is where is | immediately | |
110828 | Applied Materials | 0100-77064 | ISRM Module - Legacy (77064) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112108 | Applied Materials | Endura CL Al Chamber | PVD Endura CL Al chamber | 300 mm | 1 | as is where is | |||
106221 | Applied Materials | 3830-01018 REV | LABEL LASERTAB MARKER WHT POLYEST LAM | Spares | 01.06.1999 | 2 | as is where is | immediately | |
109549 | Applied Materials | Centura DPS2 AE Minos Poly | Dry Etch with 2CH DPS2 and 2 CH Axiom | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
110829 | Applied Materials | 0090-77110 | ISRM Cable (to slip ring) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112109 | Applied Materials | Endura CL Chamber ALPS | PVD Endura CL ALPS Chamber | 300 mm | 1 | as is where is | |||
106222 | Applied Materials | 3830-01034 REV | LABEL LASERTAB MARKER WHT POLYEST LAM | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110830 | Applied Materials | 0720-02672 | Slip Ring (Conn 6cond Rotating feed through) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112110 | Applied Materials | Endura CL Chamber | PVD SiP Ti Chamber ONLY | 300 mm | 01.06.2003 | 1 | as is where is | ||
106223 | Applied Materials | 0020-20626 REV C | BLOCK MOUNTING SHUT-OFF VALVE | Spares | 01.06.1998 | 1 | as is where is | immediately | |
110831 | Applied Materials | 990-004763-015 | Pad Cond Analog Board - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112111 | Applied Materials | Orbot WF720 | Wafer Inspection system | 125 mm | 1 | as is where is | |||
106224 | Applied Materials | 3870-01281 REV | VALVE BLANK PLATE ASSY FOR SMC P/N NVJ11 | Spares | 01.06.1999 | 3 | as is where is | immediately | |
110832 | Applied Materials | 0100-77017 | Lower Pneumatic Board - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112112 | Applied Materials | P5000 | CVD ILD BPTEOS with 3 process chambers | 200 mm | 01.06.1996 | 1 | as is where is | ||
106225 | Applied Materials | 3870-01284 | VALVE BLANK PLATE ASSY FOR SMC P/N NVJ3023 | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110833 | Applied Materials | 990-004765-107 | Digital Pneutronics Board (Normally Open) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112113 | Applied Materials | P5000 | Etch Metal with 2x Metal, 1xASP | 150 mm | 1 | as is where is | |||
106226 | Applied Materials | 0090-20042 REV D | ASSY 3 WAY VALVE | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110834 | Applied Materials | 990-004765-104 | Digital Pneutronics Board (Normally Close) - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112114 | Applied Materials | Producer S TEOS-BPSG | CVD TEOS-BPSG with 3 x twin chambers | 300 mm | 01.06.2002 | 1 | as is where is | ||
106227 | Applied Materials | 0190-20015 REV E | GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR | Spares | 01.06.1999 | 1 | as is where is | immediately | |
110835 | Applied Materials | MFC-8005-T2105-072-N-001 | Chemical Malema Pump - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112115 | Applied Materials | Quantum Leap II | Low Energy Implanter | 200 mm | 01.06.2000 | 1 | as is where is | ||
106228 | Applied Materials | 0020-20626 REV C | BLOCK MOUNTING SHUT-OFF VALVE | Spares | 01.06.1998 | 1 | as is where is | immediately | |
110836 | Applied Materials | MFC-8000-T2104-052-P-001 | Slurry Malema Pump - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112116 | Applied Materials | Reflexion | CMP Oxide | 300 mm | 01.06.2006 | 1 | as is where is | ||
110837 | Applied Materials | UPM2-646NC | Furon Valve UPM2-646NC - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112117 | Applied Materials | Reflexion LK | CMP Oxide | 300 mm | 01.06.2008 | 1 | as is where is | ||
110838 | Applied Materials | UPM3-644 | Furon Valve UPM3-644 - CMP Polisher and Lower Mirra | Spares | 5 | inquire | |||
112118 | Applied Materials | Reflexion LK | CMP W | 300 mm | 01.06.2012 | 1 | as is where is | ||
108023 | Applied Materials | P5000 | CVD | 200 mm | 01.11.1990 | 1 | as is where is | ||
112119 | Applied Materials | SEMVision G3 Lite | Metrology DR-SEM | 300 mm | 01.06.2007 | 1 | as is where is | ||
112120 | Applied Materials | UVision 4 | Metrology Bright Field | 300 mm | 01.06.2009 | 1 | as is where is | ||
110841 | Applied Materials | 0140-08725 | P2 cable Harness, E-chain AIO head, 200mm - CMP HEAD | Spares | 5 | inquire | |||
112121 | Applied Materials | UVision 4 | Metrology Bright Field | 300 mm | 1 | as is where is | |||
110842 | Applied Materials | 0140-77637 | P1 cable C/A Digital I/O head pneumatic - CMP HEAD | Spares | 5 | inquire | |||
71931 | Applied Materials | 0050-76664 REV A | GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE | spares | 01.06.1999 | 1 | as is where is | immediately | |
110843 | Applied Materials | 0140-77632 | Head Sweep Cable - CMP HEAD | Spares | 5 | inquire | |||
113403 | Applied Materials | 5200 Centura | CVD 3 chamber TEOS | 150 mm | 01.06.1997 | 1 | as is where is | immediately | |
71932 | Applied Materials | 0020-20919 REV C | COVER CVD POST | spares | 31.05.1999 | 1 | as is where is | immediately | |
110844 | Applied Materials | 0190-77482 | Head Sweep Motor assy - CMP HEAD | Spares | 5 | inquire | |||
71933 | Applied Materials | 0150-21344 | CHAMBER D INTERCONNECT EMC COMPLIANT | spares | 31.05.1999 | 1 | as is where is | immediately | |
110845 | Applied Materials | 0760-01034 | 3-port, 4-port Rotary Union (0760-01034) - CMP HEAD | Spares | 5 | as is all rebuilt | 1 month | ||
111613 | Applied Materials | Centura AP AdvantEdge G5 Metal | Metal Etch | 300mm | 1 | as is where is | |||
110846 | Applied Materials | 3870-02674 | Burkert Valve - CMP HEAD | Spares | 5 | inquire | |||
111614 | Applied Materials | Centura AP AdvantEdge G5 Metal | Metal Etch | 300mm | 1 | as is where is | |||
110847 | Applied Materials | IT1001-N31-X6 | SMC UPA Regulator (X6) - CMP HEAD | Spares | 5 | inquire | |||
110617 | Applied Materials ® | 0190-33289 | RTP CHAMBER LAMP | spares | 183 | as is where is | immediately | ||
105851 | Applied Materials ® | 079-018-05 | P5000 Mk II Functional description Practice exercises | Spares | 30.04.1995 | 1 | as is where is | immediately | |
105852 | Applied Materials ® | 0230-09258B | P5000 Mk II Mainframe and Support Equipment Manual | Spares | 30.06.1994 | 1 | as is where is | immediately | |
105853 | Applied Materials ® | 0230-00103 | Precision Etch 8300 Corrective Maintenance Rev 3 | Spares | 30.04.1990 | 2 | as is where is | immediately | |
105854 | Applied Materials ® | 0230-20005 | Endura Operations and Programming Training Course Student Workbook | Spares | 31.08.1993 | 1 | as is where is | immediately | |
105858 | Applied Materials ® | 0021-35163 Rev A | Gold-plated RTP Reflector Plate, 200 MM, chamber bottom | 200 mm | 31.05.1997 | 1 | as is where is | immediately | |
6536 | Applied Materials ® | 0230-09258 B | P5000 Mk II Mainfame support and equipment manual cleanroom July 1994 | Spares | 01.07.1994 | 1 | as is where is | immediately | |
6537 | Applied Materials ® | 026-105-03 C | P5000 Mk II Functional description training manual | Spares | 01.04.1995 | 1 | as is where is | immediately | |
6538 | Applied Materials ® | 079-109-0D | P5000 Mk II Advanced calibration proceedures manual Jan 1995 | Spares | 01.01.1995 | 1 | as is where is | immediately | |
6539 | Applied Materials ® | 079-102-0D | P5000 Mk II Advanced preventive and corrective maintenance Apr 1996 | Spares | 01.04.1996 | 1 | as is where is | immediately | |
6540 | Applied Materials ® | 026-110-0B.1 | P5000 Mk II Functional description , practice exercises and basic maintenance proceedures | Spares | 01.03.1996 | 1 | as is where is | immediately | |
114284 | Applied Microstructures Inc | MVD 100 System | Molecular Vapor Deposition | 200 mm | 1 | as is where is | |||
114506 | APTECH | PP-R20 | PICK AND PLACE | Assembly | 1 | as is where is | |||
83894 | ASAHI TOOLS | K-2 | PRECISION WRENCH | PARTS | 1 | as is where is | immediately | ||
32217 | ASAHI TOOLS | K-2 | PRECISION WRENCH | PARTS | 1 | as is where is | immediately | ||
77168 | Asco | SC8210G004 | Solenoid Valve, 1 In, Orifice 1 In, Brass | Spares | 1 | as is where is | immediately | ||
83571 | Asco | SC8210G93 | Solenoid Valve, | Spares | 1 | as is where is | immediately | ||
109363 | ASE | AMV-1000-27M-ASMGK-L | RF GENERATOR | Spares | 6 | as is where is | |||
109364 | ASE | AMV-1000-27M-ASMGK-R | RF GENERATOR | Spares | 6 | as is where is | |||
109365 | ASE | AMV-1000-SMT | RF GENERATOR | Spares | 1 | as is where is | |||
114445 | ASM | ISLINDA(Dual-Head) | DIE BONDER | Assembly | 1 | as is where is | |||
114446 | ASM | IS8912DA | DIE BONDER | Assembly | 1 | as is where is | |||
114447 | ASM | AD830 | DIE BONDER | Assembly | 3 | as is where is | |||
114448 | ASM | DISPENSER ASSY ( LEFT ) | DIE BONDER | Assembly | 1 | as is where is | |||
114449 | ASM | DISPENSER ASSY ( RIGHT ) | DIE BONDER | Assembly | 1 | as is where is | |||
114459 | ASM | EAGLE XTREME | WIRE BONDER | Assembly | 1 | as is where is | |||
114460 | ASM | IHAWK | WIRE BONDER | Assembly | 1 | as is where is | |||
114461 | ASM | EAGLE60V | WIRE BONDER | Assembly | 1 | as is where is | |||
111659 | ASM | A412 POLY | Vertical Furnace | 300mm | 1 | as is where is | |||
111662 | ASM | Eagle-12 Rapidfire | PECVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | |||
113711 | ASM | A400 | Diffusion Oxide VERTIC DOX | 150 mm | 01.06.1996 | 1 | as is where is | ||
109104 | ASM | AS899 (Spare Parts) | Stock of Spare Parts for ASM Die Sorters / Pick and place Die Bonders | Spares | 01.06.2009 | 51 | as is where is | immediately | |
111664 | ASM | NUCLEUS XP | Wafer Substrate Bonding | 300mm | 1 | as is where is | |||
113712 | ASM | A400 | Diffusion Oxide VERTIC DOX | 150 mm | 01.06.2000 | 1 | as is where is | ||
113713 | ASM | A400 | LPCVD TEOS | 150 mm | 01.06.1996 | 1 | as is where is | ||
113714 | ASM | A400 | LPCVD TEOS | 150 mm | 01.06.2000 | 1 | as is where is | ||
111414 | ASM | Islanda | Die Bonder (Dual Head) | 1 | as is where is | immediately | |||
109117 | ASM | XP8 | Dual Chamber PECVD system for Oxide and Nitride | 300 mm | 01.06.2010 | 2 | as is where is | immediately | |
108384 | ASM | Eagle-12 Rapidfire | PECVD (Chemical Vapor Deposition) | 300 mm | 3 | as is where is | |||
111461 | ASM | Eagle 12 | PECVD | 1 | as is where is | immediately | |||
114285 | ASM | EAGLE-10 | PE CVD 2 chambers | 200 mm | 01.01.1998 | 1 | as is where is | ||
106862 | ASM | A412 | Dual Tube CVD Furnace, Tube 1 Nitride , Tube 2 As + Ge doped polyslicon | 200 mm | 01.01.2019 | 1 | as is where is | immediately | |
106884 | ASM | AS899 (Spare Parts) | Spare Parts for Pick and Place Die Bonder | Spares | 01.06.2009 | 51 | as is where is | immediately | |
98443 | ASM | MS896 | LED sorter | 100mm | 10 | as is where is | immediately | ||
98444 | ASM | WS896 | LED sorter | 100mm | 10 | as is where is | immediately | ||
114079 | ASM | A412 POLY | Vertical Furnace | 300 mm | 1 | as is where is | |||
114080 | ASM | Eagle XP EmerALD | ALD (Atomic Layer Deposition) | 300 mm | 1 | as is where is | |||
81827 | ASM | 2890224-21 | Converter DTC | Spares | 1 | as is where is | immediately | ||
81828 | ASM | 2506556-21 | Processor DTC PCB | Spares | 01.03.2010 | 1 | as is where is | immediately | |
108713 | ASM | A400 HT | Vertical Furnace Oxide/Poly | 200 mm | 01.06.2003 | 1 | inquire | immediately | |
114387 | ASM | A412 | Vertical CVD Furnace | 300 mm | 01.06.2007 | 1 | as is where is | immediately | |
112602 | ASM | Epsilon 3000 | EPITAXIAL PROCESS | 300 mm | 01.06.2003 | 2 | as is where is | ||
111352 | ASM | Eagle XP5 | Plasma-enhanced ALD system with 2 chambers for SiO and SIN | 300 mm | 01.06.2010 | 1 | immediately | ||
112122 | ASM | AD830 | DIE BONDER | 100 mm | 01.06.2008 | 1 | as is where is | ||
112123 | ASM | AD830+ | Die bonding | 100 mm | 01.06.2014 | 1 | as is where is | ||
112124 | ASM | Dragon 2300 | CVD PECVD Equipment for Barrier | 300 mm | 01.06.2003 | 1 | as is where is | ||
112125 | ASM | Eagle10 | CVD PETEOS | 200 mm | 01.06.1999 | 1 | as is where is | ||
112126 | ASM | Eagle12 | CVD CVD | 300 mm | 01.06.2004 | 1 | as is where is | ||
112127 | ASM | Epsilon 3200 | EPITAXIAL PROCESS | 300 mm | 01.06.2009 | 1 | as is where is | ||
111472 | ASM PACIFIC | IDEALMOLD 3G | MUF-G2 | 01.09.2014 | 2 | as is where is | |||
112128 | ASML | PAS 5500/400D | Stepper 280nm, I-Line Stepper | 200 mm | 01.06.2004 | 1 | as is where is | immediately | |
111665 | ASML | PAS 5500/100D | i-Line Stepper | 150 mm | 01.06.1996 | 1 | as is where is | immediately | |
111668 | ASML | YieldStar S-250 | Overlay Measurement System | 300mm | 1 | as is where is | |||
113211 | ASML | TWINSCAN XT:400F | I-line lithography exposure system | 300 mm | 1 | as is where is | |||
110685 | ASML | Yieldstar S100 | Overlay measurement System | 300 mm | 01.06.2008 | 1 | as is where is | immediately | |
109159 | ASML | YieldStar S-250 | Overlay Measurement System | 300 mm | 1 | as is where is | immediately | ||
113006 | ASML | PAS 5500/100D | i-Line Stepper | 200 MM | 2 | as is where is | immediately | ||
110703 | ASML | AT1100B Twinscan | DUV Lithography exposure system 193 nm | 200 mm and 300 mm | 01.06.2001 | 1 | as is where is | immediately | |
114081 | ASML | PAS 5500/100B | i-Line Stepper | 150 mm | 1 | as is where is | |||
114082 | ASML | PAS 5500/100D | i-Line Stepper | 150 mm | 1 | as is where is | |||
114083 | ASML | PAS 5500/100D | i-Line Stepper | 150 mm | 1 | as is where is | |||
114084 | ASML | TWINSCAN XT:1250D | 193nm (ArF) Scanner | 300 mm | 1 | as is where is | |||
114085 | ASML | YieldStar S-100B | Overlay Measurement System | 300 mm | 1 | as is where is | |||
114086 | ASML | YieldStar S-250 | Overlay Measurement System | 300 mm | 1 | as is where is | |||
113322 | ASML | XT1400F | Twinscan XT 1400F Scanner (ArF (193nm)) | 200 mm | 1 | as is where is | immediately | ||
112587 | ASML | PAS5500 /200 | i-line lithography | 200 mm | 01.06.1996 | 3 | as is where is | immediately | |
112588 | ASML | PAS5500 /200B | i-line lithography | 200 mm | 01.06.1997 | 1 | as is where is | immediately | |
110797 | ASML | Twinscan XT400G | i LINE SCANNER | 300 mm | 1 | as is where is | immediately | ||
112589 | ASML | PAS5500 /250C | i-line lithography | 200 mm | 01.06.2000 | 5 | as is where is | immediately | |
110799 | ASML | Twinscan XT400F | i LINE SCANNER | 300 mm | 1 | as is where is | immediately | ||
109286 | ASML | Twinscan AT850B | 193nm DUV Scanner | 300 mm | 01.11.2002 | 1 | as is where is | immediately | |
111343 | ASML | XT-1900-Gi | Immersion Lithography System | 300 mm | 01.06.2009 | 1 | as is where is | immediately | |
82176 | Astec | VS3-C2-C2-C2 | Power Supply 2000 watts (-450-CE) | 1 | as is where is | immediately | |||
82227 | Astec | VS3-C2-C2-02 | Power Supply 2000 watts (-450-CE) 5.2V FOR CREDENCE DUO TESTER | Spares | 01.06.2011 | 2 | as is where is | immediately | |
83556 | Astec | VS3-C8-A8-02 (-451-CE) | Power Supply 2000 watts FOR CREDENCE DUO TEST SYSTEM | Spares | 1 | as is where is | immediately | ||
83557 | Astec | VS1-L3-02 (-435-CE) | Power Supply 1500 watts | 1 | as is where is | immediately | |||
83558 | Astec | VS1-D8-02 (-436-CE) | Power Supply 1500 watts | SPARES | 1 | as is where is | immediately | ||
109942 | Astec | 9N24-32-372-FQ-3 | Power Supply | SPARES | 1 | inquire | |||
80315 | Astec | VS3-D8-D8-02 | Power Supply 2000 watts for Credence Duo SX tester | Spares | 2 | as is where is | immediately | ||
81873 | Astec | VS1-L3-02 (-335-CE) | Power Supply 1500 watts | Spares | 1 | as is where is | immediately | ||
81875 | Astec | VS3-D4-B4-22 (-447-ce) | Power Supply I/P 115-230 VAC/15A O/P 15V DC 120A 2000 W | Spares | 01.06.1999 | 4 | as is where is | immediately | |
81876 | Astec | VS1-L5-02 (-452-ce) | Power Supply | 1 | as is where is | immediately | |||
53270 | Astec Powertec | 9K2-300-372 | Super Switcher Power Supply | Spares | 31.05.1994 | 2 | as is where is | immediately | |
109366 | ASTECH | ATL-100RA | RF GENERATOR | Spares | 4 | as is where is | |||
109367 | ASTECH | ATL-100RA | RF GENERATOR | Spares | 1 | as is where is | |||
109368 | ASTECH | ATL-100RA-03 | RF GENERATOR | Spares | 4 | as is where is | |||
109943 | Astech | ATL-100RA | Match | SPARES | 1 | inquire | |||
109944 | Astech | ATL-100RA | Match | SPARES | 1 | inquire | |||
109945 | Astech | ATL-100RA | Match | SPARES | 1 | inquire | |||
109946 | Astech | ATL-100RA | Match | SPARES | 1 | inquire | |||
109947 | Astech | ATL-100RA/DT2L | Match | SPARES | 1 | inquire | |||
114495 | ASTEK | S-200SD | DIE SORTER | Assembly | 1 | as is where is | |||
112644 | Astex | SXRHA | RF Generator 1000W | SPARES | 2 | as is where is | |||
109369 | ASTEX | ARX-X491 | RF GENERATOR | Spares | 6 | as is where is | |||
109948 | Astex | AX2107 | Generator | SPARES | 1 | inquire | |||
108728 | ASYMTEK | Millenium 620 | Glue Dispense Tool | 1 | as is where is | ||||
113950 | Asymtek / Nordson | Spectrum S820 | Precision Batch Fluid Dispensing System | 1 | inquire | ||||
84765 | Asyst | 1150-V1315S | SMIF Load port 150mm for Lam 4620 | 150 MM | 1 | as is where is | immediately | ||
106803 | Asyst | Versaport 2200 with shuttle and back plate | SMIF loader | 200mm | 6 | as is where is | immediately | ||
102551 | Asyst | e-charger | N2 charge | 200mm | 2 | as is where is | immediately | ||
95404 | Asyst | 1150-V1315S | SMIF Load port 150mm for Lam 4620 | 150 MM | 1 | as is where is | immediately | ||
101816 | Asyst | Isoport | Wafer load port | 300 mm | 20 | as is where is | immediately | ||
101817 | Asyst | Versaport pod opener 2200 VPO | SMIF loader | 200 mm | 2 | as is where is | immediately | ||
112645 | Asyst Technologies | 300FL,S3,25WFR | SMIF LOAD PORT--300MM | SPARES | 2 | as is where is | |||
112646 | Asyst Technologies | 9700-5640-01 | 300 MM Load Port Bolt Interface | SPARES | 2 | as is where is | |||
112647 | Asyst Technologies | ELEVATOR | Wafer Loader, Elevator | SPARES | 1 | as is where is | |||
77184 | Athena | 16C-B-S-0-23-00 | Temperature / Process controller | 01.02.2012 | 1 | as is where is | immediately | ||
108153 | ATI | OAK-1 | Auto Scope Inspection | 01.06.2013 | 1 | as is where is | |||
114532 | AUGUST | NSX-3DI-AXI SERIES ULTRAPORT5 | VISION INSPECTION | Assembly | 1 | as is where is | |||
114533 | AUGUST | NSX105C | VISION INSPECTION | Assembly | 1 | as is where is | |||
98447 | August | 3DI-8000 | wafer bump inspection | 300 mm | 1 | as is where is | immediately | ||
98448 | August | NSX-95 | 2D Auto inspection system / Macro defect inspection system | 200 mm | 4 | as is where is | immediately | ||
101818 | August | NSX-105 | Wafer Bumping Inspection System / Macro defect inspection | 200 mm | 1 | as is where is | immediately | ||
112648 | Austin Scientific Co | 450W | Cryogenic Compressor | Pump | 1 | as is where is | |||
100347 | Autec | ATC-70B-RS | Thermal Shock Chamber | Reliability | 1 | as is where is | immediately | ||
114286 | Aviza | AVP | Vertical Furnace, BTBAS process | 200 mm | 1 | as is where is | |||
114287 | Aviza | Celsior FXP | Vertical Furnace – ALD process | 200 mm | 01.06.2000 | 1 | as is where is | ||
112129 | Aviza/SVG | RVP-300+ | Furnace DIFF | 300 mm | 01.06.2005 | 1 | as is where is | ||
112130 | Axcelis | Integra | Asher | 300 mm | 01.06.2012 | 1 | as is where is | ||
112131 | Axcelis | Optima HDx | Implant High Current Implanter | 300 mm | 01.06.2012 | 1 | as is where is | ||
112132 | Axcelis | Optima HDx | Implant High Current Implanter | 300 mm | 01.06.2014 | 1 | as is where is | ||
112133 | Axcelis | Optima HDx | Implant High Current Implanter | 300 mm | 01.06.2014 | 1 | as is where is | ||
112134 | Axcelis | Optima MD | Ion Implanter, medium current, FEOL | 300 mm | 01.06.2006 | 1 | as is where is | ||
113449 | Axcelis | Purion M | Medium Current Ion Implanter | 150 mm | 01.06.2024 | 1 | as is where is | immediately | |
113451 | Axcelis | 6200AV | Medium Current Ion Implanter | 150 mm | 01.06.1993 | 1 | as is where is | immediately | |
113715 | AXCELIS | 150pc | UV-Bake | 150 mm | 01.06.1987 | 1 | as is where is | ||
113716 | AXCELIS | 150pc | UV-Bake | 150 mm | 01.06.1987 | 1 | as is where is | ||
113717 | AXCELIS | 150pc | UV-Bake | 150 mm | 01.06.1987 | 1 | as is where is | ||
113718 | AXCELIS | NV-GSD-200E | High Current Implanter | 150 mm | 01.06.1995 | 1 | as is where is | ||
113719 | AXCELIS | NV-GSD-200E | High Current Implanter | 150 mm | 01.06.1995 | 1 | as is where is | ||
113720 | AXCELIS | NV-GSD-200E | High Current Implanter | 150 mm | 01.06.2006 | 1 | as is where is | ||
111673 | Axcelis | NV-8250 | Medium Current Implanter | 200mm | 1 | as is where is | immediately | ||
113721 | AXCELIS | NV-GSD-HE | High Energy Implanter | 150 mm | 01.06.2000 | 1 | as is where is | ||
113722 | AXCELIS | NV6200AV | Medium Current Implanter | 150 mm | 01.06.1989 | 1 | as is where is | ||
113723 | AXCELIS | NV6200AV | Medium Current Implanter | 150 mm | 01.06.1995 | 1 | as is where is | ||
111676 | Axcelis | RapidStrip 210ES | Stripper/Asher | 200mm | 1 | as is where is | |||
114240 | Axcelis | 1089351R | Core Resonator Cavity - Refurbished | Spares | 01.09.2020 | 3 | as is where is | immediately | |
114241 | Axcelis | 110001746R Rev E | 200 mm Process Disk for NV-GSD implanters | 200 mm | 01.12.2018 | 1 | as is where is | immediately | |
114251 | Axcelis | RapidStrip 210ES | Stripper/Asher | 200 mm | 0 | as is where is | immediately | ||
114255 | Axcelis | 6200-AV | ORIGINAL COPY OF MEDIUM CURRENT ION IMPLANTER SOFTWARE DISKS, S/W VERSIONS V5.2.4 AND V4.10 | Software | 01.06.2002 | 1 | as is where is | immediately | |
103517 | AXCELIS | ES3 | Plasma Asher | 300 mm | 1 | as is where is | |||
108901 | Axcelis | Rapid Cure320FC Radiator | UV anneal System | 300 mm | 01.05.2007 | 1 | as is where is | immediately | |
108905 | Axcelis | RapidCure 320FC | RPC | 300 mm | 01.12.2005 | 1 | as is where is | immediately | |
111465 | Axcelis | 110001747 | UHD refurbished implanter wheel | 200 mm | 1 | as is where is | immediately | ||
111466 | Axcelis | 110001747 | UHD used implanter wheel | 200 mm | 1 | as is where is | immediately | ||
114288 | Axcelis | NV-GSD III -90 | high-current ion implanter | 200 mm | 01.06.1995 | 1 | as is where is | ||
114289 | Axcelis | NV-GSD-HE | High Energy Ion Implanter | 200 mm | 01.06.1999 | 1 | as is where is | ||
114290 | Axcelis | NV-GSD/HE | High Energy Ion Implanter | 200 mm | 01.09.1999 | 1 | as is where is | ||
111223 | AXCELIS | 5000132 | STDF 3/8LX3/8X6-32 RND, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111224 | AXCELIS | 17287690 | BALL SEAL, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111225 | AXCELIS | 17031590 | INSUL ES QUAD, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111226 | AXCELIS | 5001057 | STDF 5/8LX3/8X6-32 CER 2601, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111227 | AXCELIS | 1708680 | INSULATING BUSHING ARC CHAMBER, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111228 | AXCELIS | 17287680 | FLANGE SEAL, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111229 | AXCELIS | 17170590 | REPELLER INSULATOR ELS/IAS, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111230 | AXCELIS | 17147310 | INSULATOR STANDOFF SOURCE, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
94591 | Axcelis | B 07 554 | MOTOR GSD 180 TOP | SPARES | 1 | as is where is | |||
111231 | AXCELIS | 17287870 | INSULATOR CATHODE PLT, CERAMIC, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
94592 | Axcelis | PN 1027-0093-0035 | Beam Shutter DI 14 | SPARES | 1 | as is where is | |||
113034 | AXCELIS | INTEGRA | ES Plasma Dry Strip System | 300 mm | 01.06.2012 | 1 | as is where is | ||
94603 | Axcelis | Rock | 4 x Kit Air Jet Replacement | SPARES | 1 | as is where is | |||
94604 | Axcelis | Rock | 4 x Kit Air Jet Replacement | SPARES | 1 | as is where is | |||
113036 | AXCELIS | INTEGRA-RS | ES Plasma Dry Strip System | 300 mm | 01.06.2012 | 1 | as is where is | ||
113037 | AXCELIS | Fusion 200 MCU | Asher | 200 mm | 1 | as is where is | |||
106639 | AXCELIS | INTEGRA-RS | DRY STRIP | 300 mm | 01.06.2012 | 1 | as is where is | ||
108700 | Axcelis | Fusion ES3 | Asher | 300 MM | 01.02.2001 | 1 | as is where is | immediately | |
72111 | Axcelis | 17091650 | SUPP RACK | Spares | 3 | as is where is | immediately | ||
111283 | AXCELIS | 17181020 | SCREWS SHIELDS, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111284 | AXCELIS | 17164520 | ELECTRODE FILAMENT, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111285 | AXCELIS | 17287860 | CATHODE MTG PLT, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111286 | AXCELIS | 17270230 | SHIELD (2) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111287 | AXCELIS | 17270220 | DIS 72743 FLD RPL ONLY SHIELD (1) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
72120 | Axcelis | 17S2467 | DISK | Spares | 1 | as is where is | immediately | ||
111288 | AXCELIS | 17118510 | POLE SHORT ES QUAD, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
108729 | Axcelis | 110000800 | Universal 300mm Disk Cart MAT # 4501422 | Facilities | 01.06.2005 | 1 | as is where is | immediately | |
111289 | AXCELIS | 17270120 | SHIELD BOLT HEAD FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111290 | AXCELIS | 17270110 | BLOCK (5) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111291 | AXCELIS | 17165510 | APERTURE FILAMENT, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111292 | AXCELIS | 170150707 | SHLD (2) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111293 | AXCELIS | 17270070 | BLOCK (1) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111294 | AXCELIS | 17270090 | BLOCK (3) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111295 | AXCELIS | 17270240 | APERTURE 1 AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111296 | AXCELIS | 17270080 | BLOCK (2) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111297 | AXCELIS | 17270210 | BLOCK (3) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111298 | AXCELIS | 17270100 | BLOCK (4) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111299 | AXCELIS | 17270130 | APERTURE (1) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111300 | AXCELIS | 17224320 | APERTURE FARADAY RESOLVER, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111301 | AXCELIS | 17270190 | BLOCK (1) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111302 | AXCELIS | 17371250 | SLIT, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111303 | AXCELIS | 17270200 | BLOCK (2) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111304 | AXCELIS | 17173230 | APERTURE ENTRANCE E-SHOWER, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111305 | AXCELIS | 17350350 | LINER FEM BELLOWS LEAD, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111306 | AXCELIS | 17182580 | STRIKE PLATE (2) DISK, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
112586 | Axcelis | Optima MD | Medium Current Ion Implanter | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
111307 | AXCELIS | 17182600 | STRIKE PLATE (4) DISK, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111308 | AXCELIS | 17182590 | STRIKE PLATE (3) DISK,, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111309 | AXCELIS | 17182570 | STRIKE PLATE (1) DISK FARADAY, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111310 | AXCELIS | 17098511 | APERTURE BEAM DEF PLT, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111311 | AXCELIS | 17293110 | ADAPTER RING DISC FARADAY GRAPHITE SHIELD, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111312 | AXCELIS | 17125570 | INA 57064 FLD RPL ONLY GROUND PLATE HE SPEC, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
91345 | AXCELIS | INTEGRA | ES plasma dry strip system | 300 mm | 1 | as is where is | |||
111313 | AXCELIS | 17350360 | LINER LEAD, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111314 | AXCELIS | 170151678 | BLOCK (4) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111315 | AXCELIS | 170150706 | BLOCK (3) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111316 | AXCELIS | 170150704 | BLOCK (1) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111317 | AXCELIS | 17228170 | LINER GRAPHITE BEAM GUIDE PLATE, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111318 | AXCELIS | 17270170 | LINER (3) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111319 | AXCELIS | 170151677 | LINER HORIZONTAL AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111320 | AXCELIS | 170150709 | LINER (3) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111321 | AXCELIS | 17270180 | LINER (4) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111322 | AXCELIS | 17270020 | LINER (2) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111323 | AXCELIS | 17270060 | LINER (7) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111068 | Axcelis | 440001339 | SCREW #8-32 X .1875 HEX/SC/CONE/PT SST NF - AXCELIS HE3 | Spares | 5 | inquire | |||
111324 | AXCELIS | 170150703 | LINER (4) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111069 | Axcelis | 170156358 | SCREW SHOULDER, SUS - AXCELIS HE3 | Spares | 5 | inquire | |||
111325 | AXCELIS | 17125561 | EXTRACTION ELECTRODE, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111070 | Axcelis | 170154476 | ARC CHMBR SPACER, SUS - AXCELIS HE3 | Spares | 5 | inquire | |||
111326 | AXCELIS | 170150705 | BLOCK (2) AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111071 | Axcelis | 170158596 | ARC CHMBR SPACER, SUS - AXCELIS HE3 | Spares | 5 | inquire | |||
111327 | AXCELIS | 17270010 | LINER (1) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111072 | Axcelis | 17S1597 | FEEDTHRU 11 ESHOWER, ALU, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111328 | AXCELIS | 17270140 | APERTURE (2) FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111073 | Axcelis | 17308540 | LINER SIDE W-ELS VAP, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111329 | AXCELIS | 17270050 | LINER (5) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111074 | Axcelis | 17170610 | REPELLER STUD W ELS/IAS, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111330 | AXCELIS | 17270030 | LINER (3) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111075 | Axcelis | 17308550 | LINER REPELLER W-ELS, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111331 | AXCELIS | 17F6732 | LINER AMU BELLOWS, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111076 | Axcelis | 17308520 | LINER BOTTOM W-ELS, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111332 | AXCELIS | 17164580 | TARGET GRAPHITE E-SHOWER, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111588 | Axcelis | VSD | HE3 implanter disk, 12 inch | 300 mm | 1 | as is where is | 4 months | ||
111077 | Axcelis | 17170600 | REPELLER W ELS/IAS, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111333 | AXCELIS | 170150708 | LINER (1) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111078 | Axcelis | 17133340 | CATHODE REPELLER 85% DENSE W-ELS/VAE, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111334 | AXCELIS | 17135440 | GRAPHITE LINER ELECTRON SHOWER, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111079 | Axcelis | 17A0732 | FEED THRU, ALU, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111335 | AXCELIS | 17270040 | LINER (4) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111080 | Axcelis | 17308560 | LINER CATHODE W-ELS, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111336 | AXCELIS | 170153782 | INSERT GRAPHITE SCE FLANGE - AXCELIS HE3 | Spares | 5 | inquire | |||
111081 | Axcelis | 170093650R13 | CATHODE ASSY TUNGSTEN ELS, 13MM - AXCELIS HE3 | Spares | 5 | inquire | |||
111337 | AXCELIS | 170151676 | LINER L SHAPE HORIZONTAL AMU, GPH, CG - AXCELIS HE3 | Spares | 5 | inquire | |||
111082 | Axcelis | 170093650R15 | CATHODE ASSY TUNGSTEN ELS, 15MM - AXCELIS HE3 | Spares | 5 | inquire | |||
111083 | Axcelis | 170093650R17 | CATHODE ASSY TUNGSTEN ELS, 17MM - AXCELIS HE3 | Spares | 5 | inquire | |||
111084 | Axcelis | 170030630 | CATHODE TUNGSTEN ELS 2X, W, HIGH PURITY - - | Spares | 5 | inquire | |||
111085 | Axcelis | 17287851 | FILAMENT ELS-2X (.040), W - AXCELIS HE3 | Spares | 5 | as is where is | immediately | ||
111086 | Axcelis | 17335310 | CLAMP FILAMENT ETERNA ELS, TZM, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111087 | Axcelis | 17230090 | ARC SLIT T15 W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
111599 | Axcelis | 11016823 | HE3 implanter disk, 12 inch, UHD | 300 mm | 1 | as is where is | 2 months | ||
111088 | Axcelis | 17308500 | ARC CHAMBER W-ELS/VAE, W, HIGH PURITY - AXCELIS HE3 | Spares | 5 | inquire | |||
113290 | AXCELIS / FUSION | 200 PCU-P | UV Bake | 200 mm | 01.06.2000 | 1 | as is where is | immediately | |
109370 | AXICON | Y2000 | RF GENERATOR | Spares | 1 | as is where is | |||
109371 | AXICON | Y2000 | RF GENERATOR | Spares | 1 | as is where is | |||
100888 | Baccini | Furnace 3 | Drying Furnace | Solar | 31.05.2008 | 1 | as is where is | immediately | |
56142 | Baccini | Screen printers | Printers and Dryers | 156 mm | 01.06.2001 | 1 | as is where is | immediately | |
56145 | Baccini | SUN SIMULATOR / CELL TESTER | Cell Tester | 156mm | 01.06.2006 | 1 | as is where is | immediately | |
56146 | Baccini | Sorter 1 | Cell Sorter 1 | 156 mm | 01.06.2006 | 1 | as is where is | immediately | |
98708 | Baccini | Furnace 1 | Drying Furnace | Solar | 31.05.2008 | 1 | as is where is | immediately | |
98709 | Baccini | Furnace 2 | Drying Furnace | Solar | 31.05.2008 | 1 | as is where is | ||
98710 | Baccini | Test 1 | Solar Cell Inspection | Solar | 31.05.2008 | 1 | as is where is | immediately | |
98711 | Baccini | Test 2 | Icos Solar Cell Inspection | Solar | 31.05.2008 | 1 | as is where is | immediately | |
98712 | Baccini | Test 3 | Automatic Cell Sorter | Solar | 31.05.2008 | 1 | as is where is | immediately | |
98713 | Baccini | Wafer Boats | Spares for Baccini solar cell manufacturing line | Solar | 01.05.2008 | 100 | as is where is | immediately | |
98715 | Baccini | Printer 1 | Screen Printer | Solar | 31.05.2008 | 1 | as is where is | immediately | |
98716 | Baccini | Printer 2 | Screen Printer | Solar | 31.05.2008 | 1 | as is where is | immediately | |
98717 | Baccini | Printer 3 | Screen Printer | Solar | 31.05.2008 | 1 | as is where is | immediately | |
108703 | Baccini | Softline | Screen printer | Solar | 01.06.2009 | 1 | inquire | immediately | |
77009 | Baccini | Screen Printer 2 | screen printer | 156 mm | 31.05.2001 | 1 | as is where is | immediately | |
77010 | Baccini | Screen Printer 3 | screen printer | 156 mm | 31.10.2001 | 1 | as is where is | immediately | |
77012 | Baccini | Screen Printer 1 | screen printer | 156 mm | 01.11.2001 | 1 | as is where is | immediately | |
77013 | Baccini | CHIP AND CRACK CAMERA | Chip and Crack camera | 156 mm | 31.05.2007 | 1 | as is where is | immediately | |
77017 | Baccini | Cell electrical tester | Electrical Cell tester | 156 mm | 31.05.2006 | 1 | as is where is | immediately | |
77018 | Baccini | Sorter 2 | Cell Sorter 2 | 156 mm | 01.06.2006 | 1 | as is where is | immediately | |
77019 | Baccini | Sorter - Furnace Output | Cell Sorter - Furnace output | 156 mm | 01.06.2006 | 1 | as is where is | immediately | |
77020 | Baccini | SUN SIMULATOR / CELL TESTER | Cell Tester | 156 mm | 01.06.2006 | 1 | as is where is | immediately | |
103388 | Baccini | Oven 3 Unload Buffer FFF | Unload buffer unit from Oven 3 and FFF loader | Solar | 31.05.2008 | 1 | as is where is | immediately | |
77021 | Baccini | Dryer 1 | Dryer 1 | 156 mm | 31.10.2001 | 1 | as is where is | immediately | |
77022 | Baccini | Dryer 2 | Dryer 1 | 156 mm | 31.10.2001 | 1 | as is where is | immediately | |
112649 | Balzers | RFS 302 | RF Generator 2500 W 13.56 MHZ | SPARES | 1 | as is where is | |||
112650 | Balzers | DUO030A | Mechanical Pump | Pump | 1 | as is where is | |||
112651 | Balzers | TCP 015 | Turbo Pump Controller | Pump | 1 | as is where is | |||
112652 | Balzers | TCP380 | Turbo Pump Controller | Pump | 2 | as is where is | |||
112653 | Balzers | TPH 2200 | Turbomolecular Pump | Pump | 1 | as is where is | |||
111458 | BALZERS | PCU 425 | Pump | 1 | as is where is | immediately | |||
112654 | Balzers-Pfeiffe | TMU 065 | Turbomolecular Pump | Pump | 1 | as is where is | |||
112655 | Balzers-Pfeiffe | TPH 050 | Turbomolecular Pump | Pump | 1 | as is where is | |||
112656 | Balzers-Pfeiffe | TPH 180H | Turbomolecular Pump | Pump | 3 | as is where is | |||
112657 | Balzers-Pfeiffe | TPH 240 | Turbomolecular Pump | Pump | 1 | as is where is | |||
112658 | Balzers-Pfeiffe | TPU 060 | Turbomolecular Pump | Pump | 3 | as is where is | |||
112659 | Balzers-Pfeiffe | TPU 062 | Turbomolecular Pump | Pump | 1 | as is where is | |||
112660 | Balzers-Pfeiffe | TPU 170 | Turbomolecular Pump | Pump | 1 | as is where is | |||
112661 | Baseline-Mocon | 8800PID | Volatile Organic Vapor Analyzer | Facilities | 1 | as is where is | |||
108730 | BAUSCH & LOMB | StereoZoom 4 | Microscope on Boom Stand, 0.7-3X with 10X W.F. Stereo Eyepieces | 1 | as is where is | ||||
108731 | BAUSCH & LOMB | StereoZoom 4 | Microscope on Small Benchtop Stand, 0.7-3X with 15X W.F. Eyepieces | 1 | as is where is | ||||
108732 | BAUSCH & LOMB | StereoZoom 6 Plus | Microscope, 0.67-4.0X with WF 10X/21 Eyepieces | 1 | as is where is | ||||
108733 | BAUSCH & LOMB | StereoZoom 7 | Microscope on Boom Stand, 1-7X with 15X Eyepieces and 0.67X Adapter Lens | 1 | as is where is | ||||
112662 | Bausch and Lomb | MicroZoom | Microscope,Incident Light | 1 | as is where is | ||||
109949 | Baxter | Sealing Head | Sealing | SPARES | 1 | inquire | |||
109950 | Baxter | Sealing Head | Sealing | SPARES | 1 | inquire | |||
109951 | Baxter | Sealing Head | Sealing | SPARES | 1 | inquire | |||
109952 | Baxter | Sealing Head | Sealing | SPARES | 1 | inquire | |||
111511 | Bay Voltex | 0190-36058 LT-HRE-1650-9650-WC | Chiller | 1 | as is where is | immediately | |||
83589 | Beckhoff | BK9000 | Ethernet interface module | Spares | 1 | as is where is | immediately | ||
83594 | Beckhoff | KL9050 | PLC Module | 1 | as is where is | immediately | |||
84213 | Beckhoff | KL9020 | Ethernet interface | Spares | 2 | as is where is | immediately | ||
114291 | Beckman | DU650i | Spectrophotometer | 200 mm | 01.06.1995 | 1 | as is where is | ||
111381 | Beijing Sevenstar Huachuang Electronics Co .,Ltd ,China | L42500-4/ZM (SPARES) | Quartz boat for Two Tube horizontal PECVD | 156mm | 01.06.2010 | 2 | as is fob | immediately | |
84379 | BELDEN 8219 | IC20 | BRAID R-58A/U | 1 | as is where is | immediately | |||
77095 | Bellows | 10.01.06.00878 | Bellows suction cup 9mm, pack of 12 | 1 | as is where is | ||||
98718 | Berger | PSS10 | Pulsed Solar Simulator | Solar | 31.05.2008 | 1 | as is where is | immediately | |
113727 | Beta Squared Lithography | M600 | Mask Aligner | 150 mm | 01.06.2010 | 1 | as is where is | ||
113728 | Beta Squared Lithography | M600 | Mask Aligner | 150 mm | 01.06.2010 | 1 | as is where is | ||
77169 | Bimba Ultran | US-1737.125-S | Rodless Pneumatic Actuator | Spares | 1 | as is where is | immediately | ||
77170 | Bimba Ultran | US-1734.125-S | Rodless Pneumatic Actuator | Spares | 1 | as is where is | immediately | ||
108800 | BIO-RAD | ECN4900PC | Profilers, 2ea Available - Parts Only | 1 | inquire | ||||
108801 | BIO-RAD | Q7 | Overlay Metrology Tool | 1 | inquire | ||||
108802 | BIO-RAD | Q8 | Overlay Metrology / CD Measurement Tool for up to 200mm Wafers | 1 | inquire | ||||
108734 | Bio-Rad | Q8 | Overlay Metrology | 1 | as is all rebuilt | 1 month | |||
108735 | Bio-Rad | QS-1200 | FT-IR Spectrometer | 100-200 mm | 01.05.2004 | 1 | as is where is | immediately | |
108736 | Bio-Rad | QS-300 | FT-IR Spectrometer | 1 | as is where is | immediately | |||
108737 | BIORAD | Q5 | Overlay Metrology Tool | 200 mm | 2 | as is where is | immediately | ||
109953 | Bird | Bird Meter | Miscellaneous | SPARES | 1 | inquire | |||
109954 | Bird | Dummy Load | Miscellaneous | SPARES | 1 | inquire | |||
109955 | Bird | Power Meter | Miscellaneous | SPARES | 1 | inquire | |||
109956 | Bird | Power Meter | Miscellaneous | SPARES | 1 | inquire | |||
109957 | Bird | Power Meter | Miscellaneous | SPARES | 1 | inquire | |||
109958 | Bird | Power Sensor | Miscellaneous | SPARES | 1 | inquire | |||
109959 | Bird | Power Sensor | Miscellaneous | SPARES | 1 | inquire | |||
109960 | Bird | Power Sensor | Miscellaneous | SPARES | 1 | inquire | |||
109961 | Bird | Power Sensor | Miscellaneous | SPARES | 1 | inquire | |||
109962 | Bird | Power Sensor | Miscellaneous | SPARES | 1 | inquire | |||
109963 | Bird | Power Sensor | Miscellaneous | SPARES | 1 | inquire | |||
109964 | Bird | Power Sensor | Miscellaneous | SPARES | 1 | inquire | |||
109965 | Bird | Power Sensor | Miscellaneous | SPARES | 1 | inquire | |||
109966 | Bird | Power Sensor | Miscellaneous | SPARES | 1 | inquire | |||
112663 | Blue M | AC-7602TDA-3 | Temperature and humidity chamber | 1 | as is where is | ||||
112664 | Blue M | AC-7702TDC-2 | Temperature and humidity chamber | 1 | as is where is | ||||
113724 | Blue M | dcc-206c | WET ETCH Bake blueM oven | 150 mm | 01.06.2001 | 1 | as is where is | ||
113725 | Blue M | dcc-206c | WET ETCH Bake blueM oven | 150 mm | 01.06.2001 | 1 | as is where is | ||
106427 | Blue M | CC-13-C-P-B | Blue M CC-13-C-P-B | 150 mm/200 mm | 1 | as is where is | |||
114022 | BMR | GAN (A49-3) | ETCH | 100 mm | 1 | as is where is | |||
106641 | BMR | HIDEP | PECVD | N/A | 01.06.2006 | 1 | as is where is | ||
114527 | Bomyung Hi-Tech | Bomyung 2000ck | CO2 BUBBLER | Assembly | 1 | as is where is | |||
114528 | Bomyung Hi-Tech | CB-C-60 | CO2 BUBBLER | Assembly | 2 | as is where is | |||
108803 | BOONTON | 72B | Capacitance Meter | SPARES | 1 | inquire | |||
102643 | BPS | Cyberite | Ion Beam Deposition Tool | 125 mm | 31.05.1999 | 1 | inquire | immediately | |
112665 | Branson | 7102 | Plasma asher | 01.06.1990 | 1 | as is where is | |||
113310 | Branson | IPC3000 | an automated etcher/asher equipment | 01.08.2012 | 1 | as is where is | immediately | ||
108804 | BRANSON/IPC | Series 4000 | Plasma Barrel Stripper, 10" X 20" Quartz Chamber, S4075-11020 Reactor Center | 1 | inquire | ||||
108738 | BRANSON/IPC | 4055/2 | Plasma Surface Treatment Tool w/Shelf Electrodes, 2ea Available | 1 | as is where is | ||||
112666 | BREWER SCIENCE | PROGRAMMABLE AUTOMATED COAT/BAKE TRACK SYSTEM | 100 mm – 200 mm | 2 | as is where is | ||||
113951 | Brewer Science | 200 | Spin Coater | 200 mm | 1 | as is all rebuilt | immediately | ||
113952 | Brewer Science (CEE) | 200 | Spin Coater | 200 mm | 1 | inquire | |||
114453 | BRIGHT LUX | DB388TL | DIE BONDER | Assembly | 1 | as is where is | |||
112135 | Brooks | MTX2000 | Wafer Sorter | 300 mm | 01.06.2004 | 1 | as is where is | ||
112136 | Brooks | MTX4000 | Wafer Sorter | 300 mm | 01.06.2004 | 1 | as is where is | ||
109073 | Brooks | ABM 205 | Robot | Spares | 1 | as is where is | immediately | ||
109078 | Brooks | Gemini 2 | Vacuum back end (VBE) with equipment front end module (EFEM) | 200-300 mm | 01.06.2015 | 1 | as is where is | immediately | |
109079 | Brooks | 139750-77 | Vacuum back end (VBE) ASSY,VBE,G2-6,L2.5B,M7B,BTH LL,3TP/T,200-300MM | 200-300 mm | 01.06.2015 | 1 | as is where is | immediately | |
109080 | Brooks | 241251-0007 | Equipment front end module (EFEM) ASSY,JCP,2SR,S2,WE30,VGVG,XXLO,VIVIXXXX,30B,#3065 | 200 mm and 300 mm | 01.06.2015 | 1 | as is where is | immediately | |
106804 | Brooks | ATR-8 robot alone | LAM tool | 300mm | 1 | as is where is | immediately | ||
106805 | Brooks | load port | Fixload 6M, Vision, Fixload 25 | 300mm | 10 | inquire | |||
106851 | Brooks | MAG 7 | Wafer Handling Robot | Spares | 1 | as is where is | immediately | ||
110719 | Brooks | MTX2000/2 | Wafer Sorter | 300 MM | 01.06.2001 | 1 | as is where is | immediately | |
106892 | Brooks | MAG 7 | Wafer Handling Robot qty 2 | Spares | 2 | as is where is | immediately | ||
113040 | BROOKS | SORTER MTX 2000 | Wafer Handler | 200 mm | 1 | as is where is | immediately | ||
106643 | BROOKS | SORTER MTX 2000 | Wafer Handler | 200 mm | 1 | as is where is | immediately | ||
83862 | Brooks | TT1ENR2-1 | Brooks robot Teach Pendant TT1ENR2-1-TVS-ES-Brooks8 | Spares | 1 | as is where is | immediately | ||
102553 | Brooks | DBM 2407 V2 | Dual arm Atmospheric wafer handling robot | 200/300mm | 2 | as is where is | immediately | ||
110784 | Brooks | Magnatran 7 | Wafer Handling Robot | Spares | 1 | as is where is | immediately | ||
108739 | Brooks | Multitran 5 | 3 Axis Robot, Rebuilt | spares | 1 | as is where is | immediately | ||
106948 | Brooks | Fixload 6M | SMIF Load Port | 300 mm | 8 | as is where is | immediately | ||
74209 | Brooks | 5850 | MFC Mass flow controller | spares | 01.01.2009 | 2 | as is where is | immediately | |
108268 | Brooks | MTX2000/2 | WAFER SORTER | 300 mm | 01.06.2001 | 1 | as is where is | immediately | |
108024 | BROOKS | MTX2000 | WAFER SORTER | 300 mm | 01.06.2003 | 1 | as is where is | ||
106806 | Brooks / PRI | ABM 205 | single arm atmospheric wafer handling robot | 200/300mm | 2 | as is where is | immediately | ||
106807 | Brooks / PRI | ABM/ATM 405 | single arm atmospheric wafer handling robot | 200/300mm | 5 | as is where is | immediately | ||
106808 | Brooks / PRI | ABM/ATM 407B | single arm atmospheric wafer handling robot | 200/300mm | 5 | as is where is | immediately | ||
106809 | Brooks / PRI | ATM 204/207 | single arm atmospheric wafer handling robot | 200/300mm | 6 | as is where is | immediately | ||
106810 | Brooks / PRI | ATM 305/307 | single arm atmospheric wafer handling robot | 200/300 mm | 2 | as is where is | immediately | ||
106812 | Brooks / PRI | DBM 2706 | Dual arm Atmospheric wafer handling robot | 200/300mm | 2 | as is where is | immediately | ||
106813 | Brooks / PRI | EDC-2400/2800 | PRI robot controller(dual arm robot) | 150/200/300 mm | 5 | as is where is | immediately | ||
106814 | Brooks / PRI | PRE 200/200B/201/201B | wafer pre-aligner | 200mm | 2 | as is where is | immediately | ||
106815 | Brooks / PRI | PRE 300/300B/301/301B | wafer pre-aligner | 300 mm | 01.06.2000 | 2 | as is where is | immediately | |
114263 | Brooks / PRI | PRE 201 | wafer pre-aligner | 200 mm | 01.01.2004 | 1 | as is where is | immediately | |
106105 | Brooks / PRI | ATM-207-2S-CE | Single arm atmospheric wafer handling robot | 200/300mm | 01.03.2004 | 1 | as is where is | 2 weeks | |
98449 | Brooks / PRI | ABM 405 | single arm atmospheric wafer handling robot | 200/300mm | 3 | as is where is | immediately | ||
98453 | Brooks / PRI | DBM 2406 | Dual arm Atmospheric wafer handling robot | 200/300mm | 4 | as is where is | immediately | ||
98456 | Brooks / PRI | Magnatran 8 | Vacuum Robot( From an AMAT Producer GT) AMAT p/n 0190-25011 REV 001 | 300 mm | 1 | as is where is | immediately | ||
98457 | Brooks / PRI | PRE 200/200B | wafer pre-aligner | 200 mm | 3 | as is where is | immediately | ||
102555 | Brooks / PRI | ESC-200/212/212B/222/218 | PRI robot controller( single arm robot) | 150/200/300 | 10 | as is where is | immediately | ||
112667 | Brooks Automation | 001-1570-87 | Robot Vacuum Arm | SPARES | 2 | as is where is | |||
112668 | Brooks Automation | ACUTRAN 7 | Wafer Transfer Robot & Aligner | SPARES | 1 | as is where is | |||
112669 | Brooks Automation | Fixload 6 V6M 013096-057-20 | Load Port - 300mm | SPARES | 1 | as is where is | |||
112670 | Brooks Automation | MagnaTran 7F | Vacuum Wafer Transfer Frogleg Robot | SPARES | 1 | as is where is | |||
112671 | Brooks Automation | VCE2 | Cassette Elevator | SPARES | 8 | as is where is | |||
112672 | Brooks Automation | VCE2 | Wafer Elevator/Aligner | SPARES | 3 | as is where is | |||
112673 | Brooks Automation | VTR5 | Vacuum Atmosphere Robot | SPARES | 1 | as is where is | |||
103821 | Brooks Automation | DBM2407-V2 | Dual Arm Robot | Spares | 01.12.2006 | 2 | as is where is | immediately | |
103822 | Brooks Automation | DBM2407-V2 | Dual Arm Robot | Spares | 01.12.2006 | 1 | inquire | immediately | |
108740 | BROOKS AUTOMATION | ATM-305 | 3 Axis Robot, Refurbished | spares | 1 | as is where is | immediately | ||
112674 | Brooks CTI Cryogenic | On-Board IS 320FX | Cryogenic Pump | Pump | 1 | as is where is | |||
108042 | Brooks etc | Spare Parts | Various Robots, RF generators and Rf Matches for sale | Spares | 1 | as is where is | immediately | ||
113729 | Bruce | BDF-41 | 4-Tube horizontal furnace -POCl and Anneal process | 150 mm | 01.06.1988 | 1 | as is where is | ||
113730 | Bruce | BDF-41 | 4-Tube horizontal furnace | 150 mm | 01.06.1988 | 1 | as is where is | ||
113731 | Bruce | BDF-41 | 4-Tube horizontal furnace | 150 mm | 01.06.1988 | 1 | as is where is | ||
113732 | Bruce | BDF-41 | 4-Tube horizontal furnace -POCl and Anneal process | 150 mm | 01.06.1990 | 1 | as is where is | ||
113733 | Bruce | BDF-41 | 4-Tube horizontal furnace – Oxide COX process | 150 mm | 01.06.1991 | 1 | as is where is | ||
113734 | Bruce | BDF-41 | 4-Tube horizontal furnace – Oxide and Poly | 150 mm | 01.06.1994 | 1 | as is where is | ||
113735 | Bruce | BDF-41 | 4-Tube horizontal furnace – Oxide and LPCVD Nitride Process | 150 mm | 01.06.1986 | 1 | as is where is | ||
113736 | Bruce | BDF-41 | 4-Tube horizontal furnace – OXIDE | 150 mm | 01.06.1987 | 1 | as is where is | ||
113737 | Bruce | BDF-41 | 4-Tube horizontal furnace – OXIDE- SILCX DOX Process | 150 mm | 01.06.1995 | 1 | as is where is | ||
113953 | Bruker | Eco-Snow WaferClean 2200 | Non-Acqueous CO2 Wafer Cleaning System | 200 mm | 01.06.2022 | 1 | as is where is | immediately | |
110694 | BRUKER | D8 Fabline | X-Ray Diffraction wafer measurement | 300 mm | 01.06.2008 | 1 | as is where is | immediately | |
113312 | Bruker | Contour GT-K0 | 3D Optical PROFILER microscope | 200 mm | 01.06.2012 | 1 | as is where is | immediately | |
111557 | BRUKER | NT3300 | RF GENERATOR | SPARES | 1 | as is where is | |||
109557 | BRUKER | Quantax 200 | Energy Dispersive X- Ray Spectrometer | Laboratory | 1 | as is where is | immediately | ||
108702 | BTU | PV614 | Fast Firing Furnace | Solar | 01.06.2013 | 1 | as is where is | immediately | |
111438 | Buehler | Beta Series Twin Variable Speed Grinder-Polisher | GRINDER-POLISHER | 01.04.2008 | 1 | as is where is | immediately | ||
111443 | Buehler | 49-5102-230 | Twin Variable Speed Grinder Polisher with Vector Power Head | 01.06.2001 | 1 | as is where is | immediately | ||
112675 | Busch | BNV 1000 C 0400 BB | Mechanical Pump Combo | Pump | 2 | as is where is | |||
112676 | Busch | SV 1016 | Mechanical Pump | Pump | 1 | as is where is | |||
113330 | Busch | Cobra BC1000 F | Vacuum Pumps | Pump | 01.06.2014 | 4 | as is where is | immediately | |
113331 | Busch | Cobra BC2000 F | Vacuum Pumps | Pump | 01.06.2014 | 3 | as is where is | immediately | |
84378 | CABLE | 3 | as is where is | immediately | |||||
110790 | Cadence | Protium S1 | Hardware Emulation System | 1 | as is where is | immediately | |||
80256 | CAJON | SS-4-VC0 | FITTINGS | 13 | as is where is | immediately | |||
80258 | CAJON | SS-8-VC0-4 | FITTINGS | 5 | as is where is | immediately | |||
77154 | Cam York | ST-17-08-153-01-154-01-0-00-C010(1) | Centrifual Blower Motor 1/8hp 220V 0.65A 30 RPM | Spares | 1 | as is where is | immediately | ||
106645 | CAMECA | EX300 | Secondary Ion Mass Spectrometer | 300 mm | 1 | as is where is | immediately | ||
114087 | CAMECA | EX-300 | Implant Dosing Measurement | 300 mm | 1 | as is where is | |||
84244 | Camloc | RS-182 | GAS SPRING | Spares | 2 | as is where is | immediately | ||
112137 | Camtek | EM3i | Metrology Macro Inspection | 300 mm | 1 | as is where is | |||
112138 | Canon | FPA-3000EX4 | KrF Stepper (For Spares Use) | 200 mm | 01.06.1997 | 1 | as is where is | ||
112139 | Canon | FPA-5500iZ | I-Line Stepper | 200 mm | 01.06.2001 | 1 | as is where is | ||
112140 | Canon | FPA-5500iZ | I-Line Stepper | 300 mm | 01.06.2001 | 1 | as is where is | ||
112141 | Canon | FPA-5500iZ+ | I-Line Stepper (Missing Parts) | 300 mm | 01.06.2004 | 1 | as is where is | ||
112142 | Canon | FPA-5500iZ+ | I-Line Stepper | 300 mm | 01.06.2004 | 1 | as is where is | ||
112143 | Canon | FPA-5500iZa | I-Line Stepper | 300 mm | 01.06.2005 | 1 | as is where is | ||
112144 | Canon | FPA-6000ES6a | Scanner 90nm, KrF Scanner | 300 mm | 01.06.2005 | 1 | as is where is | ||
112145 | Canon | FPA-6000ES6a | Scanner 90nm, KrF Scanner | 300 mm | 01.06.2007 | 1 | as is where is | ||
112146 | Canon | FPA-6000ES6a | KrF Scanner (For spares use) | 300 mm | 01.06.2008 | 1 | as is where is | ||
53020 | CANON | Fujitsu denso 4250-E921 | Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
53021 | Canon | Fujitsu Denso 4250-E921 | Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
110623 | Canon | FPA-5510iX | I line stepper | 300 mm | 1 | as is where is | |||
53023 | Canon | Fujitsu Denso 4250-E921 | Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
109090 | Canon | BG3-3822 | DMP Pre-amp PCB for Canon FPA 5000 ES2, 3 etc. | Spares | 1 | as is where is | 2 weeks | ||
109091 | Canon | BG3-1045 | REF-MEM (RT) PCB for Canon FPA 5000 ES2, 3 etc. | Spares | 1 | as is where is | immediately | ||
106020 | Canon | BG3-3868-000 | MBD Unit (Masking Blade Driver) for Canon FPA5000ES2/ES2+ | Spares | 01.06.1999 | 1 | as is where is | immediately | |
109092 | Canon | BG5-1262 | MLE-IF2 PCB for Canon FPA 5000 ES2, 3 etc. | Spares | 1 | as is where is | immediately | ||
84774 | Canon | Chuck, 8 inch | Wafer Chuck for EX3, EX4, i4, i5 | 200 mm | 30.04.1999 | 1 | as is where is | immediately | |
109094 | CANON | BG3-3831 | RT-DSP PCB for Canon FPA 5000 ES2, 3 etc. | Spares | 1 | as is where is | 2 weeks | ||
52262 | Canon | 6736A | reticle handling robot for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 | spares | 01.06.1999 | 1 | as is where is | immediately | |
53032 | Canon | Omron APR-S | Reverse Phase Relay for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | ||
52265 | Canon | video impedance adapter | 5 channel video impedance adapter | spares | 30.06.1999 | 1 | as is where is | immediately | |
109098 | Canon | BY8-6168-4E0 | Canon FPA3000 EX3 Command Guide - operational manual | Manual | 01.06.1996 | 1 | as is where is | immediately | |
109099 | Canon | BY8-4615-0E0 | Canon FPA3000 EX3 Maintenance Guide | Manual | 01.06.1996 | 1 | as is where is | immediately | |
99884 | Canon | FPA-5000 ES3 | Spare Parts | 300 mm | 1 | as is where is | immediately | ||
109100 | Canon | BY8-6168-1E0 | Canon FPA3000 EX3 Operation Guide - operational manual | Manual | 01.06.1996 | 1 | as is where is | immediately | |
109101 | Canon | BY8-6168-2E0 | Canon FPA3000 EX3 Parameter Guide 1 - operational manual | Manual | 01.06.1996 | 1 | as is where is | immediately | |
109102 | Canon | BY8-6168-3E0 | Canon FPA3000 EX3 Parameter Guide 2 - operational manual | Manual | 01.06.1996 | 1 | as is where is | immediately | |
109103 | Canon | BY8-6168-5E0 | Canon FPA3000 EX3 Reticle Guide2 - operational manual | Manual | 01.06.1996 | 1 | as is where is | immediately | |
53041 | Canon | spares | Wafer Cassette holder for FPA 3000 SERIES iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | ||
53042 | Canon | FPA 3000 series | Cassette holder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | ||
113459 | Canon | FPA 2000 I1 | I-line Stepper | 150 mm | 01.06.1992 | 1 | as is where is | ||
113460 | Canon | FPA 2000 I1 | I-line Stepper | 150 mm | 01.06.1994 | 1 | as is where is | ||
113461 | Canon | FPA 2000 I1 | I-line Stepper | 150 mm | 01.06.1994 | 1 | as is where is | ||
53045 | Canon | Fuji Electric PE-LA 5 D | Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 3 | as is where is | immediately | ||
113462 | Canon | FPA 2000 I1 | I-line Stepper | 150 mm | 01.06.1994 | 1 | as is where is | ||
53046 | Canon | Fuji Electric PE-LA 5 D | Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | ||
113463 | Canon | FPA 2000 I1 | I-line Stepper | 150 mm | 01.06.1992 | 1 | as is where is | ||
53047 | Canon | Fuji Electric PE-LA 5 D | Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | ||
113464 | Canon | FPA 2000 I1 | I-line Stepper | 150 mm | 01.06.1994 | 1 | as is where is | ||
113465 | Canon | FPA 2000 I1 | I-line Stepper | 150 mm | 01.06.1994 | 1 | as is where is | ||
53049 | Canon | BH8-1768-02 | Library Sub Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 31.05.1999 | 1 | as is where is | immediately | |
113466 | Canon | FPA 2500 I3 | I-line Stepper | 150 mm | 01.06.1994 | 1 | as is where is | ||
53050 | Canon | BH8-1818-01 | LD/PD board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
103739 | CANON | BG4-6746 BH8-1822-01 BG8-2683 | WF/RC IF PCB FOR CANON I-LINE STEPPERS | Spares | 31.10.1996 | 1 | as is where is | immediately | |
113467 | Canon | FPA 2500 I3 | I-line Stepper | 150 mm | 01.06.1995 | 1 | as is where is | ||
103741 | CANON | ROCKY KS-112 | SCSI EXT PCB FOR CANON FPA SERIES I-LINE STEPPERS | SPARES | 31.10.1996 | 1 | as is where is | immediately | |
53056 | Canon | BG9-4757, BH8--1069-01 | AF DRV board for Canon FPA 1550 series | spares | 1 | as is where is | immediately | ||
53057 | Canon | BG9-4760, BG83111, BH8-1071-02 | SH/RH board for Canon FPA 1550 | spares | 30.06.1999 | 1 | as is where is | immediately | |
53058 | Canon | BG9-4761, BG8-3112, BH8-1071-01 | SH/RH board FOR CANON FPA SERIES STEPPERS | spares | 30.06.1999 | 1 | as is where is | immediately | |
53059 | Canon | BH8-1073-01, BG9-4763, BG8-3114 | PA SENSER board | spares | 01.06.1999 | 1 | as is where is | immediately | |
53060 | Canon | BG9-4764, BH8-1074-01 | PA CCD board for Canon FPA series steppers | spares | 30.06.1999 | 1 | as is where is | immediately | |
53061 | Canon | BG9-4762, BG8-3113, BH8-1072-01 | PA STAGE board for Canon 1550MarkIV series | spares | 30.06.1995 | 1 | as is where is | immediately | |
53062 | Canon | BG9-4758, BG8-3109, BH8-1070-02 | SC/RC board for Canon FPA series steppers | spares | 30.06.1999 | 1 | as is where is | immediately | |
53063 | Canon | BG9-4759, BG8-3110, BH8-1070-02 | SC/RC board for Canon fpa | spares | 30.06.1999 | 1 | as is where is | immediately | |
111690 | Canon | FPA-5500 iZ+ | i-Line Wide-Field Stepper | 300mm | 2 | as is where is | |||
53066 | Canon | BG4-6365 | PPC Pellicle Particle Checker Unit for FPA3000 series stepper iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.04.1997 | 1 | as is where is | immediately | |
111694 | Canon | FPA-6000 ES6 | 248nm (KrF) Scanner | 300mm | 1 | as is where is | |||
53074 | Canon | FPA 3000 series extended reticle library | extended reticle library | 6 inch | 01.06.1998 | 1 | as is where is | immediately | |
114023 | CANON | FPA-5510iX | I-LINE Stepper | 300 MM | 01.06.2015 | 1 | as is where is | ||
52338 | Canon | BH8-2017-01 | IL3-CD-PCB for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
52341 | Canon | BH8-1980-01 | EASRCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
52342 | Canon | BH8-1979-01 | EASLCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
108919 | Canon | FPA-6000 ES5 | 248 nm (KrF) excimer exposure system | 300 mm | 01.05.2003 | 1 | as is where is | immediately | |
103800 | Canon | BG3-7842 | STG CD4 PCB, for Canon FPA5000 ES3 scanner | Spares | 1 | as is where is | immediately | ||
80249 | CANON | BG4-7001 | BH8-1837-01 PCB | 1 | as is where is | immediately | |||
52346 | Canon | BH8-2022-01, BG8-3369, BG4-8680 | EXP-CD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
52347 | Canon | BH8-1938-01BG4-9386 BG8-2694 | CD90-CD PCB assembly | spares | 1 | as is where is | immediately | ||
103804 | Canon | BG4-6466-000 | ZMI 1000 A Board (Y) | Spares | 1 | immediately | |||
52348 | Canon | BH8-2065-02, BG4-8805, BG8-3375 | CD90-INTLK board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
80253 | Canon | FPA1550 MK4 (Spares) | Targetting lenses for Canon Stepper qty 2 | SPARES | 31.05.1995 | 2 | as is where is | immediately | |
52360 | Canon | Zenith ZPS-250 | Multiple voltage power supply 250Watts | spares | 30.06.1999 | 1 | as is where is | immediately | |
52365 | Canon | Shimaden SR25-2P-N-00699609 | PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 2 | as is where is | immediately | |
52366 | Canon | Shimaden SR25-2P-N-00699609 | PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
52367 | Canon | Chino ES-600 | Chart Recorder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
103826 | Canon | BG3-2428-000 | 8 inch and12 inch PIN wafer chuck ASS’Y ( FPA 5000 ES2, ES2+, ES3, ES4) | Spares | 01.05.2000 | 1 | inquire | immediately | |
108946 | CANON | FPA-5000 ES3 (Spare Parts) | Spare Parts for Canon ES series DUV scanners | Spares | 12 | as is where is | immediately | ||
52379 | CANON | FUJITSU DENSO 4247-E924 | 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
52380 | CANON | Fujitsu Denso 4247-E924 | 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
52381 | CANON | Fujitsu Denso 4247-E924 | 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
52382 | CANON | FUJITU DENSO 4247-E924 | 1ch power supply module for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
52384 | Canon | Fujitsu Denso 4247-E924 | 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 1 | as is where is | immediately | ||
111523 | CANON | PLA-501F | Mask Aligner | 200 MM | 1 | as is where is | |||
108968 | Canon | FPA3000 EX3/ i5 (Spares) | Masking Blade Assembly | Spares | 01.06.1998 | 1 | as is where is | immediately | |
114088 | Canon | FPA-5550 iZ | i-Line Wide-Field Stepper | 300 mm | 1 | as is where is | |||
102059 | Canon | FPA 3000 SERIES i4 i5 EX3 EX4 EX5 EX6 | Various Spare Parts and lenses for Canon FPA-3000 Series | spares | 01.05.1998 | 27 | as is where is | immediately | |
105904 | Canon | BG3-3822 | DMP-PREAMP PCB | Spares | 01.05.2000 | 1 | as is where is | immediately | |
105905 | Canon | BG3-3823 | DMP-DSP PCB | Spares | 01.05.2000 | 1 | as is where is | immediately | |
52153 | Canon | Chuck Tool | Chuck Tool for EX3, EX4, i4, i5 | 200 mm | 30.04.1999 | 1 | as is where is | immediately | |
84412 | CANON | FPA 1550 Mark IV (Spares) | Wafer transfer arm INL-WS1 for CANON FPA 1550 MARK 4 | 150 mm | 1 | as is where is | immediately | ||
95427 | CANON | FPA2500i3 | i-Line Stepper | 150 mm | 01.05.1995 | 1 | as is where is | immediately | |
95428 | Canon | FPA-2500i2 | i Line Stepper | 150mm | 1 | as is where is | immediately | ||
114395 | canon | FPA 2000-i1 | I-line stepper | 1 | inquire | ||||
109020 | Canon | FPA 5000 ES3 | KrF Scanner (Scanner) | 200 mm | 01.05.2001 | 1 | as is where is | immediately | |
106205 | Canon | FPA3000 EX3 (Spares) | Complete Illuminator Assembly | Spares | 01.06.1998 | 1 | as is where is | immediately | |
52446 | Canon | Fujitsu Denso 4249-E922 | 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
52447 | CANON | Fujitsu Denso 4249-E923 | 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 01.06.1999 | 1 | as is where is | immediately | |
52448 | CANON | FUJITSU DENSO 4248-E922 | 2 channels power supply module for canon fpa 3000 series | spares | 30.06.1999 | 1 | as is where is | immediately | |
52450 | Canon | Fuji Denso 4248-E921 | 2 channels power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 | spares | 30.06.1999 | 1 | as is where is | immediately | |
111591 | Canon | FPA5000-ES3 (Spare Parts) | User Interface Panel (Complete) | Spares | 01.06.2002 | 1 | as is where is | immediately | |
106229 | Canon | BG4-8595 | FPA 3000 series, iA scope objective lens unit, RIGHT | Spares | 01.06.1998 | 1 | as is where is | immediately | |
106230 | Canon | BG4-8594 | FPA 3000 SERIES iA scope objective lens unit, Left | Spares | 01.06.1998 | 1 | as is where is | immediately | |
111606 | Canon | BG5-0959-000 | Main CPU Board, for Canon ES3 | Spares | 1 | as is where is | immediately | ||
106231 | Canon | BG4-85?? | FPA 3000 series iA scope relay lens unit, Right | Spares | 01.06.1998 | 1 | as is where is | immediately | |
106232 | Canon | BG4-8599 | FPA 3000 series iA scope shutter unit | Spares | 01.06.1998 | 1 | as is where is | immediately | |
106233 | Canon | FPA 3000 EX3/4/5/6 (Spares) | FPA 3000 EX series iA scope lens unit | Spares | 01.06.1998 | 1 | as is where is | immediately | |
106234 | Canon | BG4-8601 | Electro-Optical part for FPA 3000 EX series iA scope | Spares | 01.06.1998 | 1 | as is where is | immediately | |
106235 | Canon | iA scope for FPA 3000 Ex4,5,6 (Spares) | Texas Instruments MC780P CCD EX Camera (Left) | Spares | 01.06.1998 | 1 | as is where is | immediately | |
106236 | Canon | iA scope for FPA 3000 Ex4,5,6 (Spares) | Texas Instruments MC780P CCD EX Camera (Right) | Spares | 01.06.1998 | 1 | as is where is | immediately | |
106237 | Canon | iA scope for FPA 3000 Ex4,5,6 (Spares) | Issoku FG199 lead screw | Spares | 01.06.1998 | 1 | as is where is | immediately | |
106238 | Canon | BG4-8597 | Electro-optical assembly from iA scope for FPA 3000 Ex4,5,6 | Spares | 01.06.1998 | 1 | as is where is | immediately | |
112147 | Canon Anelva | FC7100 | PVD | 300 mm | 01.06.2011 | 1 | as is where is | ||
113042 | CARL ZEISS | S-INDUSTRIAL | Zeiss Excistar S-industrial | 200 mm | 1 | as is where is | |||
106646 | CARL ZEISS | S-INDUSTRIAL | 193 NM LASER | FACILITIES | 1 | as is where is | |||
112148 | Cascade | REL4800 | Manual prober | - | 1 | as is where is | |||
112149 | Cascade | REL4800 | Manual prober | - | 1 | as is where is | |||
108976 | Cascade | Summit 12000 | Semi-automatic probe station with Shield Box, Temptronic thermal chuck -65C to 200 C | 200 mm | 01.06.2005 | 1 | as is where is | immediately | |
109579 | Cascade Microtech | Summit 11000M | Prober | 200 mm | 1 | as is where is | immediately | ||
112677 | Cascade Microtech | M150 | Prober | 1 | as is where is | ||||
112678 | Cascade Microtech | REL-3200 | Prober | 1 | as is where is | ||||
110700 | Cascade Microtech | Summit 12000B-HS | Manual Prober with isolation table and heated chuck | 150 mm | 01.11.2009 | 6 | as is where is | immediately | |
112150 | CDE | RESMAP 168 | Resistivity Measurement | 150 mm | 1 | as is where is | |||
113954 | CDE | ResMap 473 | Resistivity Mapping System | 300 mm | 1 | inquire | |||
112019 | CDE | Resmap 463 | Resistivity measurement | 300 mm | 01.06.2010 | 2 | as is where is | immediately | |
83551 | Celerity | UFC-1660 | MFC C2F6 5SLPM | 01.08.2009 | 1 | as is where is | immediately | ||
109967 | Celtec | CD1-12 | Power Supply | SPARES | 1 | inquire | |||
109968 | Celtec | CD1-12 | Power Supply | SPARES | 1 | inquire | |||
109969 | Celtec | CK180-6 | Generator | SPARES | 1 | inquire | |||
109970 | Celtec | CK180-6 | Generator | SPARES | 1 | inquire | |||
109971 | Celtec | CM13 | Generator | SPARES | 1 | inquire | |||
56140 | CentroTherm | DO 12.000-200-FF-HTO-CAN-NT4.0 | Belt Reflow Furnace for solar cell production (Fast Firing Furnace) with Dryer | Solar | 01.05.2001 | 1 | as is where is | immediately | |
56144 | Centrotherm | Centronic E2000 320-4 | Horizontal diffusion furnace for POCl3 doping | 156 mm | 01.05.2003 | 1 | as is where is | immediately | |
103801 | Centrotherm | DO-FF-8600-300 | Belt Reflow Furnace for solar cell production (Fast Firing Furnace) | Solar | 01.05.2008 | 1 | as is where is | immediately | |
77190 | Centrotherm | Quartz Door | Centrotherm/semco(?) Quartz Door, new | 156mm | 1 | as is where is | immediately | ||
98721 | Centrotherm | E 2000 HT 300-4 | Horizontal Diffusion Furnace for POCl3 doping | Solar | 01.05.2008 | 1 | as is where is | immediately | |
98722 | Centrotherm | E 2000 HT 320-4 | Nitride Diffusion Furnace for Anti-refective coating PECVD | Up to 300 mm | 01.05.2008 | 1 | as is where is | immediately | |
98725 | Centrotherm | Loader | Furnace Loader | Solar | 31.05.2008 | 1 | as is where is | immediately | |
113947 | CFM | Full-Flow | WET ETCH CFM process Injection module upgrade CFM | 150 mm | 1 | as is where is | |||
108805 | CHA | SEC-1000 | E-Beam Evaporator with CV-8 Power Supply | 1 | inquire | ||||
114292 | CHA | SEC-1000-RAP | Vacuum Evaporator | 200 mm | 01.06.2013 | 1 | as is where is | ||
112679 | CHA Industries | SEC-1000-RA | Electron Beam Evaporator | 1 | as is where is | ||||
112680 | CHA Industries | SEC-600-RAP | Filament Evaporator | 1 | as is where is | ||||
113339 | Chroma | TV assembly Line 50~75" | TV assembly Line 50~75" (NEW, UNUSED) | 50-75 INCH | 01.01.2020 | 1 | as is where is | immediately | |
112681 | Cincinnati Sub-Zero | ZH-32-305-SCT/AC | Temperature and humidity chamber | 1 | as is where is | ||||
112682 | Cincinnati Sub-Zero | ZPH-8-3.5-SCT/AC | Temperature and humidity chamber | 1 | as is where is | ||||
112683 | Cincinnati Sub-Zero | ZPHS-64-15-15-SC/RAC | Temperature and humidity chamber | 1 | as is where is | ||||
83874 | CKD | M4SB080-M5 | AHM-850 SOLENOID VALVE | 5 | as is where is | immediately | |||
83870 | CKD CYCLINDER | CSD2-L-32-20 | CYLYNDER | SPARES | 0 | as is where is | immediately | ||
83871 | CKD CYCLINDER | CSD2-L-32-20 | CYLYNDER | SPARES | 1 | as is where is | immediately | ||
103731 | CKPlas | TS-MT510-J, TS-MT610-J | Transfer Solar Wafer Cassette | Solar | 1 | as is where is | immediately | ||
21122 | CLEAN ROOM TABLE | CLEANROOM TABLE | STEEL CLEANROOM TABLE | FACILITIES | 1 | as is where is | immediately | ||
113308 | clone 150 MW | Complete | Solar Cell and Module Production Line for M6 cells | Solar | 01.06.2018 | 1 | as is where is | immediately | |
113298 | clone null | SMT Complete Line | SMT | 01.06.1998 | 1 | ||||
114293 | Coherent | Waferlase ID200 | Wafer Marking | 200 mm | 01.08.2022 | 1 | as is where is | ||
80083 | COLUSSI | UG 50 E | AUTOCLAVE FOR STERILIZATION | Laboratory | 01.06.2000 | 1 | as is where is | immediately | |
112684 | Comdel | CPS-5000/13.56 | RF Generator 5KW 13.56 MHZ | SPARES | 1 | as is where is | |||
109372 | COMDEL | CB-5000 | RF GENERATOR | Spares | 1 | as is where is | |||
109373 | COMDEL | CLX-1000 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109374 | COMDEL | CLX-10K | RF GENERATOR | Spares | 28 | as is where is | |||
109375 | COMDEL | CLX-10K | RF GENERATOR | Spares | 1 | as is where is | |||
109376 | COMDEL | CLX-1250 | RF GENERATOR | Spares | 3 | as is where is | immediately | ||
109377 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 2 | as is where is | immediately | ||
109378 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109379 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109380 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 3 | as is where is | immediately | ||
109381 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109382 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109383 | COMDEL | CLX-2500 | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
109384 | COMDEL | CX-10K | RF GENERATOR | Spares | 7 | as is where is | |||
109385 | COMDEL | LFMN MATCH | RF GENERATOR | Spares | 1 | as is where is | |||
107379 | Comdel | CLX 600 | RF Generator | Spares | 1 | as is where is | immediately | ||
109972 | Comdel | CB300 | Generator | SPARES | 1 | inquire | |||
109973 | Comdel | CB300 | Generator | SPARES | 1 | inquire | |||
109974 | Comdel | CB300 | Generator | SPARES | 1 | inquire | |||
109975 | Comdel | CB300 | Generator | SPARES | 1 | inquire | |||
109976 | Comdel | CB4000 | Generator | SPARES | 1 | inquire | |||
109977 | Comdel | CB4000 | Generator | SPARES | 1 | inquire | |||
109978 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109979 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109980 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109981 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109982 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109983 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109984 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109985 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109986 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109987 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109988 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109989 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109990 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109991 | Comdel | CB5000 | Generator | SPARES | 1 | inquire | |||
109992 | Comdel | CB600 | Generator | SPARES | 1 | inquire | |||
109993 | Comdel | CB600 | Generator | SPARES | 1 | inquire | |||
109994 | Comdel | CB600 | Generator | SPARES | 1 | inquire | |||
109995 | Comdel | CB600 | Generator | SPARES | 1 | inquire | |||
109996 | Comdel | CB600A | Generator | SPARES | 1 | inquire | |||
109997 | Comdel | CB600A | Generator | SPARES | 1 | inquire | |||
109998 | Comdel | CB600A | Generator | SPARES | 1 | inquire | |||
109999 | Comdel | CB600A | Generator | SPARES | 1 | inquire | |||
110000 | Comdel | CB600A | Generator | SPARES | 1 | inquire | |||
110001 | Comdel | CB600S | Generator | SPARES | 1 | inquire | |||
110002 | Comdel | CD-1500 | Generator | SPARES | 1 | inquire | |||
110003 | Comdel | CDX-1000 | Generator | SPARES | 1 | inquire | |||
110004 | Comdel | CLF 5000 | Generator | SPARES | 1 | inquire | |||
110005 | Comdel | CLX 600 | Generator | SPARES | 1 | inquire | |||
110006 | Comdel | CLX 600H | Generator | SPARES | 1 | as is all rebuilt | immediately | ||
110007 | Comdel | CLX 600H | Generator | SPARES | 1 | inquire | |||
110008 | Comdel | Controller | Miscellaneous | SPARES | 1 | inquire | |||
110009 | Comdel | CPM 1000 | Match | SPARES | 1 | inquire | |||
110010 | Comdel | CPM-1000/REMOTE | Miscellaneous | SPARES | 1 | inquire | |||
110011 | Comdel | CPM-5000/REMOTE | Miscellaneous | SPARES | 1 | inquire | |||
110012 | Comdel | CPM-5000/REMOTE | Miscellaneous | SPARES | 1 | inquire | |||
110013 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous | SPARES | 1 | inquire | |||
110014 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous | SPARES | 1 | inquire | |||
110015 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous | SPARES | 1 | inquire | |||
110016 | Comdel | CPMX REMOTE CONTROLLER | Miscellaneous | SPARES | 1 | inquire | |||
110017 | Comdel | CPMX-1000 | Match | SPARES | 1 | inquire | |||
110018 | Comdel | CPMX-1000 | Match | SPARES | 1 | inquire | |||
110019 | Comdel | CPMX-1000 | Match | SPARES | 1 | inquire | |||
110020 | Comdel | CPMX-1000 | Match | SPARES | 1 | inquire | |||
110021 | Comdel | CPS 1000 | Generator | SPARES | 1 | inquire | |||
110022 | Comdel | CPS 1000 | Generator | SPARES | 1 | inquire | |||
110023 | Comdel | CPS 3000 | Generator | SPARES | 1 | inquire | |||
110024 | Comdel | CV 1000 | Generator | SPARES | 1 | inquire | |||
110025 | Comdel | CV 1000 | Generator | SPARES | 1 | inquire | |||
110026 | Comdel | CV 1000 | Generator | SPARES | 1 | inquire | |||
110027 | Comdel | CV 500 | Generator | SPARES | 1 | inquire | |||
110028 | Comdel | CV 500 | Generator | SPARES | 1 | inquire | |||
110029 | Comdel | CX 2500S | Generator | SPARES | 1 | inquire | |||
110030 | Comdel | CX 600H | Generator | SPARES | 1 | inquire | |||
110031 | Comdel | CX2500 | Generator | SPARES | 1 | inquire | |||
110032 | Comdel | CX2500 | Generator | SPARES | 1 | inquire | |||
110033 | Comdel | CX2500 | Generator | SPARES | 1 | inquire | |||
110034 | Comdel | CX2500S | Generator | SPARES | 1 | inquire | |||
110035 | Comdel | ESC-2 | Power Supply | SPARES | 1 | inquire | |||
110036 | Comdel | ESC-2 | Power Supply | SPARES | 1 | inquire | |||
110037 | Comdel | LFMN8/5 | Match | SPARES | 1 | as is all rebuilt | immediately | ||
109386 | COMET | 101187 | RF GENERATOR | Spares | 1 | as is where is | |||
109387 | COMET | 101187 | RF GENERATOR | Spares | 4 | as is where is | |||
109388 | COMET | 101187 | RF GENERATOR | Spares | 1 | as is where is | |||
109389 | COMET | 300159 | RF GENERATOR | Spares | 1 | as is where is | |||
110672 | Complete | G6 | Flat Panel Display Production line | 1500mm x 1850mm | 01.06.2005 | 1 | as is where is | immediately | |
114425 | Complete | G7.5 | Flat Panel Display Production line | 1950mm x 2250mm | 01.06.2005 | 1755 | as is where is | immediately | |
114426 | Complete | G8.0 | Flat Panel Display Production line | 2200mm x 2500mm | 01.06.2009 | 1 | as is where is | immediately | |
53025 | COMPUMOTOR | M57-51 | Lead screw, KLA p/n 655-026035-00 | spares | 1 | as is where is | immediately | ||
82179 | Concoa | 806 6530 | Singe stage Oxygen regulator valve w/ guage | 1 | as is where is | immediately | |||
84021 | Condor | B24-1.2 | dc power supply | 1 | as is where is | immediately | |||
21665 | CONDOR | HCC15 3A + | POWER SUPPLY UNIT FOR KLA 7700 SURFSCAN | SPARES | 31.01.1996 | 1 | as is where is | immediately | |
108741 | Contamination Control | Desiccator boxes, 10ea Available | Facilities | 10 | as is where is | immediately | |||
99395 | Convac | CBA-M-2000-U | Photoresist coater | 01.01.1995 | 1 | as is where is | immediately | ||
13143 | COSEL | MMC100-2 | POWER SUPPLY | SPARES | 2 | as is where is | immediately | ||
108742 | CR TECHNOLOGY | UF160-0 | Xray System | 1 | as is where is | ||||
109533 | Creative Design Engineering (CDE) | ResMap 178 | Resistivity Mapping System | Up to 200 mm | 01.06.2012 | 1 | as is where is | immediately | |
78638 | Credence | Duo SX (Spare Parts) | SPARE PARTS FROM AUTOMATED TEST SYSTEM | test | 1 | as is where is | immediately | ||
87089 | Credence | Personal Kalos I | Test system | TEST | 01.10.2002 | 1 | as is where is | immediately | |
84278 | Credence | 671-4394-01 | Test head connector board | Spares | 01.01.1999 | 2 | as is where is | immediately | |
84279 | Credence | 671-4270-02 | Capture Processor board 1A | Spares | 01.01.1999 | 1 | as is where is | immediately | |
84280 | Credence | 671-4394-04 | Test head connector board | Spares | 01.01.1999 | 1 | as is where is | immediately | |
84281 | Credence | 672-6051-01 | Support Module Interface | 01.01.1999 | 1 | as is where is | immediately | ||
80211 | Credence | Duo SX (Spare Parts) | Credence Duo SX Main PC | Spares | 01.01.1999 | 2 | as is where is | immediately | |
83559 | Credence | 671-4276-50 | PIN card FOR CREDENCE DUO SX TESTER | Spares | 01.01.1999 | 3 | as is where is | immediately | |
83819 | Credence | 671-4359-01 | DPAC Parallel Pattern Memory PCB | Spares | 01.01.1999 | 1 | as is where is | immediately | |
84099 | Credence | 671-1376-02 | Analog Power Monitor PCB 584-02 | Spares | 01.07.1999 | 1 | as is where is | immediately | |
80269 | Credence | 671-4283-01 | Duo SX ROM Sequencer TPI/PD | Spares | 01.07.1999 | 1 | as is where is | immediately | |
80270 | Credence | 671-4331-01 | DUO SX ROM Sequencer PCB | SPARES | 01.07.1999 | 1 | as is where is | immediately | |
80271 | Credence | 670-9426-05 | DUO SX Controller I/O PCB | Spares | 01.07.1999 | 1 | as is where is | immediately | |
80272 | Credence | 671-0693-04 | Duo SX DMA2 Controller PCB | spares | 01.05.1999 | 1 | as is where is | immediately | |
80273 | Credence | 671-4043-01 | DUO SX FUNCTIONAL TEST CONTROLLER PCB | Spares | 01.04.1999 | 2 | as is where is | immediately | |
80274 | Credence | 671-4098-952707 | DUO SX Master Clock Dist. | 01.10.1999 | 1 | as is where is | immediately | ||
81829 | Credence | 202-2000-00 | Credence Duo SX controller | 01.06.1999 | 1 | as is where is | immediately | ||
106924 | Credence | 671-4359-00 REV 3 | DPAC Parallel Pattern Memory | SPARES | 01.07.1999 | 18 | as is where is | immediately | |
106925 | Credence | 671-4177-04 | Support Module Interface PCB | spares | 01.08.1998 | 2 | as is where is | immediately | |
106926 | Credence | 671-4351-00 rev A | Intersegment Communications Connector | Spares | 01.01.1999 | 1 | as is where is | immediately | |
83631 | Credence | 071-1022-01 | Duo & Logic 100 Test System Service Manual | MANUAL | 01.01.1997 | 1 | as is where is | immediately | |
106927 | Credence | 671-2119-00 | Analog Support Air flow / Bus Grant | Spares | 8 | as is where is | immediately | ||
106928 | Credence | 671-4270-02 REV A | Capture Processor PCB 1A | Spares | 01.01.1999 | 2 | as is where is | immediately | |
106929 | Credence | 671-4270-03 rev A | Capture Processor 1a pcb | Spares | 01.01.1999 | 2 | as is where is | immediately | |
106930 | Credence | 671-4155-05 | Analog Support Module VHF PCB | Spares | 01.06.1999 | 1 | as is where is | ||
106931 | Credence | 671-4098-952707 | DUO SX Master Clock Dist. PCB | spares | 01.10.1999 | 1 | as is where is | immediately | |
106932 | Credence | 671-4283-01 REV 4 | Duo SX ROM Sequencer TPI/PD PCB | SPARES | 01.07.1999 | 1 | as is where is | immediately | |
106933 | Credence | 671-4331-01 | DUO SX ROM Sequencer PCB | Spares | 01.07.1999 | 1 | as is where is | immediately | |
106934 | Credence | 670-9426-05 | DUO SX Controller I/O PCB | Spares | 01.07.1999 | 1 | as is where is | immediately | |
80311 | Credence | 671-4127-00 | Scan Memory | 01.01.1999 | 15 | as is where is | immediately | ||
106935 | Credence | 671-0693-04 | Duo SX DMA2 Controller PCB | Spares | 01.05.1999 | 2 | as is where is | immediately | |
80312 | Credence | 671-4359-00 | DPAC Parallel Pattern Memory | Spares | 01.01.1999 | 35 | as is where is | immediately | |
106936 | Credence | 671-4043-01 REV A | DUO SX FUNCTIONAL TEST CONTROLLER PCB | Spares | 01.04.1999 | 2 | as is where is | immediately | |
80313 | Credence | 672-4359-00 | DPAC Parallel Pattern Memory | 01.01.1999 | 1 | as is where is | |||
106937 | Credence | 671-0951-04 | DUO SX Analog I/O Board | Spares | 01.01.1999 | 3 | as is where is | immediately | |
80314 | Credence | 672-6051-03 | Support Module Interface PCB for a Credence Duo tester | Spares | 01.01.1999 | 4 | as is where is | immediately | |
106938 | Credence | 671-4127-00 | Scan Memory PCB FOR A CREDENCE DUO SX TESTER | Spares | 01.01.1999 | 4 | as is where is | immediately | |
106939 | Credence | 671-4394-05 REV A | Test head connector PCB | Spares | 01.11.2005 | 1 | as is where is | immediately | |
80316 | Credence | 671-4270-03 | Capture Processor | 01.01.1999 | 2 | as is where is | immediately | ||
106940 | Credence | 672-6051-01 | Support Module Interface tpi / td pcb | Spares | 01.07.1999 | 1 | as is where is | immediately | |
113340 | Credence | Kalos Hex | Automated TEST Equipment | TEST | 1 | inquire | |||
80317 | Credence | 671-2119-00 | Analog Support Air flow Bus Grant PCB , for Credence DUO tester | Spares | 7 | as is where is | immediately | ||
113341 | Credence | Kalos XW | Automated TEST Equipment | TEST | 4 | inquire | |||
80318 | Credence | 671-4155-05 | Analog Support Module VHF PCB for Credence Duo Tester | Spares | 01.06.1997 | 1 | as is where is | immediately | |
80320 | Credence | 671-4351-00 | Intersegment Communications Connector | 01.01.1999 | 1 | as is where is | immediately | ||
81864 | Credence | 671-4098-02 | DUO SX Master Clock Dist. PCB | Spares | 01.02.1999 | 1 | as is where is | immediately | |
81866 | Credence | 671-4635-01 | Testhead connector PCB board, from a Credence Duo SX test system | Spares | 01.02.2007 | 1 | as is where is | immediately | |
82168 | Credence | 671-1461-04 | Intersegment communications board | Spares | 01.07.1999 | 1 | as is where is | immediately | |
114484 | CS ENG | WAFER EXPANDER SYSTEM | TAPER | 300 MM | 1 | as is where is | |||
109081 | CTI Cryogenics | 9600 | CRYOGENIC COMPRESSOR | Facilities | 01.06.2015 | 1 | as is where is | immediately | |
54562 | CTI cryogenics | CRYOTORR-100 | Cryopump | PUMP | 01.05.1997 | 2 | as is where is | immediately | |
112685 | CTI Cryogenics | 8200 | Cryogenic Compressor | Pump | 1 | as is where is | |||
112686 | CTI Cryogenics | 8300 | Cryogenic Compressor | Pump | 1 | as is where is | |||
112687 | CTI Cryogenics | 9600 | Cryogenic Compressor | Pump | 2 | as is where is | |||
112688 | CTI Cryogenics | 350CP | Cryogenic Pump | Pump | 1 | as is where is | |||
112689 | CTI Cryogenics | 8042002G003 Temp. Indicator | Cryogenic Pump | Pump | 4 | as is where is | |||
112690 | CTI Cryogenics | 8300/8004or8001 | Cryogenic Compressor | Pump | 1 | as is where is | |||
112691 | CTI Cryogenics | CRYO-TORR 10-- PN 8018182G001 | Cryogenic Pump | Pump | 1 | as is where is | |||
112692 | CTI Cryogenics | CRYO-TORR 10--PN 8018236 | Cryogenic Pump | Pump | 9 | as is where is | |||
112693 | CTI Cryogenics | CRYO-TORR 8 -- 8033083 | Cryogenic Pump | Pump | 1 | as is where is | |||
112694 | CTI Cryogenics | CRYO-TORR 8 -- 8033167 | Cryogenic Pump | Pump | 5 | as is all rebuilt | |||
112695 | CTI Cryogenics | CRYO-TORR 8 -- 8033167 | Cryogenic Pump | Pump | 4 | as is where is | |||
112696 | CTI Cryogenics | CRYO-TORR 8 -- 8033168 | Cryogenic Pump | Pump | 2 | as is where is | |||
112697 | CTI Cryogenics | CRYO-TORR 8 --8033167 | Cryogenic Pump | Pump | 2 | as is where is | |||
112698 | CTI Cryogenics | CRYO-TORR 8 --8033167 with Window | Cryogenic Pump | Pump | 9 | as is where is | |||
112699 | CTI Cryogenics | Helium Lines | Cryogenic Compressor | Pump | 10 | as is where is | |||
112700 | CTI Cryogenics | IS-1000 | Cryogenic Compressor | Pump | 1 | as is where is | |||
112701 | CTI Cryogenics | IS-2000V | Cryogenic Compressor | Pump | 2 | as is where is | |||
112702 | CTI Cryogenics | ON-BOARD 10--PN 8116209G001 | Cryogenic Pump | Pump | 1 | as is where is | |||
112703 | CTI Cryogenics | ON-BOARD 10F--PN 8116103G002 | Cryogenic Pump | Pump | 2 | as is where is | |||
112704 | CTI Cryogenics | ON-BOARD 250F--PN 8116185G001 | Cryogenic Pump | Pump | 1 | as is where is | |||
112705 | CTI Cryogenics | ON-BOARD 4F--PN 8116073G002R | Cryogenic Pump | Pump | 1 | as is where is | |||
112706 | CTI Cryogenics | ON-BOARD 6 (New) --PN 8116217G001 | Cryogenic Pump | Pump | 4 | as is where is | |||
112707 | CTI Cryogenics | ON-BOARD 6--PN 8116183G001 | Cryogenic Pump | Pump | 1 | as is where is | |||
112708 | CTI Cryogenics | ON-BOARD 8F--PN 8116034G001 | Cryogenic Pump | Pump | 1 | as is where is | |||
112709 | CTI Cryogenics | ON-BOARD 8F--PN 8116142G001 | Cryogenic Pump | Pump | 1 | as is where is | |||
112710 | CTI Cryogenics | ON-BOARD IS 250F | Cryogenic Pump | Pump | 3 | as is where is | |||
112711 | CTI Cryogenics | ON-BOARD IS 250F | Cryogenic Pump | Pump | 1 | as is where is | |||
94583 | CTI Cryogenics | 8033168 | Torr High Vacuum Pump | PUMP | 1 | as is where is | |||
94584 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump | PUMP | 1 | as is where is | |||
94585 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump | PUMP | 1 | as is where is | |||
94586 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump | PUMP | 1 | as is where is | |||
94587 | CTI Cryogenics | 8033167 | Torr High Vacuum Pump | PUMP | 1 | as is where is | |||
94588 | CTI Cryogenics | 8033168 | Torr High Vacuum Pump | PUMP | 1 | as is where is | immediately | ||
94589 | CTI Cryogenics | 8116036 G 002 | Pump On Board | PUMP | 1 | as is where is | |||
94590 | CTI Cryogenics | 80182 G 001 | Torr Pump | PUMP | 1 | as is where is | |||
110749 | CTI Cryogenics | 8033168 | High torr vacuum pump | PUMP | 1 | as is where is | immediately | ||
111580 | CTI Cryogenics | IS-2000-LV | Cryogenic Compressor | Pump | 01.01.2018 | 3 | as is where is | immediately | |
111581 | CTI Cryogenics | On-Board 400 | Cryogenic Pump | Pump | 01.01.2017 | 8 | as is where is | immediately | |
111582 | CTI Cryogenics | 9600 | Helium Cryogenic Compressor Unit | Pump | 01.01.2015 | 15 | as is where is | immediately | |
69855 | CTI Cryogenics | Cryotorr 100 | Cryopump | pump | 01.05.1997 | 1 | as is where is | immediately | |
4259 | CTI-CRYOGENIC | 8040406 REV C | ONBOARD FASTREGEN OPERATION MANUAL | Spares | 1 | as is where is | |||
4260 | CTI-CRYOGENIC | 8040491 REV B | ONBOARD CRYOPUMP INST. MAINT MANUAL | Spares | 01.01.1970 | 1 | as is where is | ||
4265 | CTI-CRYOGENIC | 8040406 REV C | ONBOARD FASTREGEN OPERATION MANUAL | Spares | 1 | as is where is | |||
4266 | CTI-CRYOGENIC | 8040491 REV B | ONBOARD CRYOPUMP INST. MAINT MANUAL | Spares | 01.01.1970 | 1 | as is where is | ||
114479 | Cuon Solution | CURWA-530 | TAPER | 300 MM | 1 | as is where is | |||
114481 | CUON SOLUTION | CUWMS-120P | TAPER | 300 MM | 1 | as is where is | |||
114486 | CUON SOLUTION | CUWRA-080 | DE-TAPER | 300 MM | 1 | as is where is | |||
4267 | CVC | 31-313216-8171 | CONNEXION INSTRUCTION MANUAL | Spares | 01.03.1998 | 1 | as is where is | immediately | |
83892 | CVC | GTC-036 | TERMOCOUPLE GAUGE TUBE | 3 | as is where is | immediately | |||
109044 | CVD Equipment Corporation | Easy Tube 3000 | Growth Equipment | 06.01.2009 | 2 | as is where is | |||
112713 | CVI | TM-500 | Cryogenic Pump | Pump | 1 | as is where is | |||
112714 | CVI | TM-500 LN2 | Cryogenic Pump | Pump | 3 | as is where is | |||
113043 | CYBER OPTICS | CYBERSCAN C212/110 | Laser Measure | 200 mm | 1 | as is where is | |||
106018 | Cymer | 01-13500-07 | Paddle for Cymer 4300 laser | Spares | 30.06.1996 | 1 | as is where is | immediately | |
113323 | Cymer | XLA165 | Excimer Laser, 193 nm , Dry | Facilities | 1 | as is where is | immediately | ||
52159 | Cymer | ELS 4300 | Maintenance Tool kit and spare parts | SPARES | 01.06.1998 | 1 | as is where is | immediately | |
108806 | DAGE | Series 5000 | Assembly Test System, Incomplete - Call for Details | ASSEMBLY | 1 | inquire | |||
108807 | DAGE | XD6500 | X-Ray Inspection Tool | ASSEMBLY | 1 | inquire | |||
108808 | DAGE | 2400-WP-100 | 100g Wire Pull Load Cell | SPARES | 1 | inquire | |||
108809 | DAGE | 2400PC-BS500G | 500g Ball Shear Load Cell for Dage 2400 | SPARES | 1 | inquire | |||
108810 | DAGE | 2400PC-WP10KG | 10 Kg Wire Pull Load Cell for Dage 2400 | SPARES | 1 | inquire | |||
108642 | Dage | 4000 | Bond Pull tester | Assembly | 01.06.2006 | 1 | as is where is | immediately | |
114535 | DAGE | 2400A | Bond Pull tester, with a BS 5 KG cartridge | Assembly | 1 | as is where is | |||
95116 | DAGE | PC2400 | Bond & Wire Tester Operators Guide | Manual | 31.03.1998 | 0 | |||
69789 | Dage | 4000-HK-5-2 | 160-OT12-PTP-02 PULL TEST hook | Spares | 1 | as is where is | immediately | ||
108743 | DAGE | BT23PC | Die Shear Tester with LC200 Die Shear Load Cell, 2ea Available | ASSEMBLY | 1 | as is where is | 2 weeks | ||
108744 | DAGE | BT24 | Ball/Bump Shear Tester | ASSEMBLY | 01.05.1994 | 1 | as is where is | immediately | |
108745 | DAGE | BT23-PC | Die Shear Tester with LC200 Die Shear Load Cell | Assembly | 01.05.1996 | 1 | as is where is | immediately | |
108746 | DAGE | 2400PC | Wire pull tester | Assembly | 1 | as is where is | immediately | ||
106447 | Dage | 4000 | DAGE 4000 SHEAR TESTER | 150 mm/200 mm | 01.06.2000 | 1 | as is where is | immediately | |
112715 | Daihen | FGA-50B, DMU-01G | RF Generator 5 KW 40.68 MHZ | SPARES | 2 | as is where is | |||
112716 | Daihen | RGA-50J3, DMU-01G | RF Generator 5 KW 13.56 MHZ | SPARES | 12 | as is where is | |||
109390 | DAIHEN | AGA-50B2 | RF GENERATOR | Spares | 3 | as is where is | |||
109391 | DAIHEN | AMN-30F-V | RF GENERATOR | Spares | 1 | as is where is | |||
109392 | DAIHEN | AMN-50B2A | RF GENERATOR | Spares | 3 | as is where is | |||
109393 | DAIHEN | AMN-50F | RF GENERATOR | Spares | 8 | as is where is | |||
109394 | DAIHEN | ATM-30A | RF GENERATOR | Spares | 1 | as is where is | |||
109395 | DAIHEN | ATP-15B | RF GENERATOR | Spares | 2 | as is where is | |||
109396 | DAIHEN | DGP-120A2 | RF GENERATOR | Spares | 2 | as is where is | |||
109397 | DAIHEN | FGA-30A2 | RF GENERATOR | Spares | 16 | as is where is | |||
109398 | DAIHEN | FGA-30C | RF GENERATOR | Spares | 1 | as is where is | |||
109399 | DAIHEN | FGA-30C | RF GENERATOR | Spares | 20 | as is where is | |||
109400 | DAIHEN | FRM-30A | RF GENERATOR | Spares | 9 | as is where is | |||
109401 | DAIHEN | FRM-30A | RF GENERATOR | Spares | 6 | as is where is | |||
109402 | DAIHEN | FTM-80A1 | RF GENERATOR | Spares | 18 | as is where is | |||
109403 | DAIHEN | MFG-20SA3 | RF GENERATOR | Spares | 2 | as is where is | |||
109404 | DAIHEN | MFT-20SB | RF GENERATOR | Spares | 1 | as is where is | |||
109405 | DAIHEN | NX-HGA-30B | RF GENERATOR | Spares | 3 | as is where is | |||
109406 | DAIHEN | NX-HRM-30B | RF GENERATOR | Spares | 1 | as is where is | |||
109407 | DAIHEN | NX-HRM-30B4 | RF GENERATOR | Spares | 1 | as is where is | |||
109408 | DAIHEN | NX-PLB-01 | RF GENERATOR | Spares | 3 | as is where is | |||
109409 | DAIHEN | NX-RGA-10G | RF GENERATOR | Spares | 3 | as is where is | |||
109410 | DAIHEN | NX-WMN-50G | RF GENERATOR | Spares | 2 | as is where is | |||
109411 | DAIHEN | RMN-50M | RF GENERATOR | Spares | 2 | as is where is | immediately | ||
109412 | DAIHEN | RTGA-30A1 | RF GENERATOR | Spares | 16 | as is where is | |||
109413 | DAIHEN | WGA-50E | RF GENERATOR | Spares | 1 | as is where is | |||
109414 | DAIHEN | WGA-50E | RF GENERATOR | Spares | 7 | as is where is | |||
109415 | DAIHEN | WGA-50E1 | RF GENERATOR | Spares | 1 | as is where is | |||
109416 | DAIHEN | WMN-50C6A | RF GENERATOR | Spares | 2 | as is where is | |||
110038 | Daihen | Daihen Match | Match | SPARES | 1 | as is where is | immediately | ||
110039 | Daihen | Daihen Match | Match | SPARES | 1 | as is where is | immediately | ||
110040 | Daihen | Dome Match | Match | SPARES | 1 | inquire | |||
110041 | Daihen | MFM 20SA | Match | SPARES | 1 | inquire | |||
110042 | Daihen | NGA-30C | Generator | SPARES | 1 | inquire | |||
110043 | DAIHEN | NMN-20A1 | Match | SPARES | 1 | inquire | |||
110044 | Daihen | WGA-20A | Generator | SPARES | 1 | inquire | |||
110045 | DAIHEN | WMN-25 | Miscellaneous | SPARES | 1 | inquire | |||
114508 | DATACON | FITO F3100 | PICK AND PLACE | Assembly | 1 | as is where is | |||
110720 | Datacon | 2200 apm | MultiChip Die Bonder | Assembly | 01.06.2007 | 1 | as is where is | immediately | |
106482 | Datacon | CS1250 | Die Pick and Sort to tape reel | 150 mm/200 mm/300 MM | 1 | as is where is | immediately | ||
80176 | Dayton | 4C446 | Blower motor 115v | 01.01.2012 | 1 | as is where is | immediately | ||
109032 | DDM Novastar | 1800HT | Reflow Oven | SMT | 1 | as is where is | immediately | ||
109040 | DEK | Horizon 03iX | Screen Printer | SMT | 01.12.2018 | 3 | as is where is | immediately | |
110675 | Dektak | 3ST | Stylus Profileometer | 150 mm | 1 | as is all rebuilt | immediately | ||
84375 | DELL | PWB 9578D | GX B-V0A | 94V-0 | 31.03.1999 | 1 | as is where is | immediately | |
77204 | Delta | ?? | Impulse Driver Board, 5000V, 3A, for PECVD | 01.01.2009 | 1 | as is where is | immediately | ||
106450 | Delta | 4CJ | Photoresist Coater | 150 mm/200 mm | 01.02.2005 | 1 | as is where is | immediately | |
106451 | Delta | 5AQ | Positive Photoresist Developer | 200 mm | 01.06.2005 | 1 | as is where is | immediately | |
106456 | Delta | Custom | DI Wafer Cleaner | 150 mm/200 mm | 01.06.2005 | 1 | as is where is | immediately | |
106458 | Delta | CUSTOM | DI Wafer Cleaner | 150 mm/200 mm | 1 | as is where is | immediately | ||
112717 | Delta T Systems | TCWC-N-H460S | Chiller | Chiller | 1 | as is where is | |||
108747 | DELTRONIC | DH14-RR | Profile Projector with 20X Objective Lens | 1 | as is where is | ||||
110594 | Delvotec | 4500/Siplace A2 | Die Bonder | Assembly | 01.06.2006 | 1 | as is all rebuilt | immediately | |
110595 | Delvotec | 6200 | Gold Ball Wire Bonder | Assembly | 01.06.2007 | 1 | inquire | immediately | |
114435 | Delvotec | G5/64000 | Bonder | 1 | as is all rebuilt | immediately | |||
111398 | Delvotec | 4500/Siplace A2 | Die Bonder | Assembly | 01.06.2006 | 1 | as is all rebuilt | immediately | |
83627 | DENSAN | DSB-S17 | OUTPUT BOARD SDB-S17 | 2 | as is where is | immediately | |||
111586 | Denso | VM-6083M-V | 6 axis cleanroom robot | SPARES | 01.05.2017 | 1 | as is where is | immediately | |
108811 | DENTON | DV-502A | Electron-Beam Evaporator with Telemark TT3 P/S, 4 Pocket E-Gun | 1 | inquire | ||||
112718 | Denton | DESK II | Sputter Coater for sample prep | 1 | as is where is | ||||
112719 | Despatch | LPB1-40V | HAST CHAMBER 331F 90 PSIG | RELIABILITY | 2 | as is where is | |||
77186 | Despatch | KK33UH-009-00-4,MC | Thermocouple sensor probe | spares | 1 | as is where is | immediately | ||
77187 | Despatch | 164700 | Thermocouple | Spares | 1 | as is where is | immediately | ||
108995 | Despatch | CDF 7210 (SPARES) | 55 cm length of furnace belt | spares | 1 | as is where is | immediately | ||
81837 | Deublin | 55-000-003 | Deublin, Rotary Union 5/8" NEW | Spares | 1 | as is where is | immediately | ||
76954 | Dimetric | JGT-10F | Relay 380V 80A | spares | 4 | as is where is | immediately | ||
111361 | Disco | DAD 3350 | Scriber & Dicer | 1 | as is where is | immediately | |||
114439 | DISCO | DFD6362 | DICING SAW | Assembly | 1 | as is where is | |||
114440 | DISCO | DFD650 | DICING SAW | Assembly | 1 | as is where is | |||
111369 | Disco | DCS1440 | Wafer & Mask Scrubber | 1 | as is where is | immediately | |||
114441 | DISCO | DFD640 | DICING SAW | Assembly | 6 | as is where is | |||
114442 | DISCO | DAD522 | DICING SAW | Assembly | 2 | as is where is | |||
114444 | DISCO | DAD3240 | DICING SAW | Assembly | 1 | as is where is | |||
112151 | Disco | DAD640 | Dicing Saw (PCB) | 150 mm, 200 mm | 01.06.1997 | 1 | as is where is | ||
112152 | Disco | DFD6361 | Wafer Sawing | 300 mm | 01.06.2012 | 1 | as is where is | ||
112153 | Disco | DFD6361 | Wafer Sawing | 300 mm | 01.06.2007 | 1 | as is where is | ||
112154 | Disco | DFD6361 | Wafer Sawing | 300 mm | 01.06.2007 | 1 | as is where is | ||
112155 | Disco | DFD651 | Dicing Saw (PCB) | - | 01.06.1999 | 1 | as is where is | ||
112156 | Disco | DFD651 | Dicing Saw (PCB) | 150 mm, 200 mm | 01.06.1999 | 1 | as is where is | ||
112157 | Disco | DFD651 | Dicing Saw (PCB) | 150 mm, 200 mm | 01.06.1999 | 1 | as is where is | ||
113955 | Disco | DFD321 | Dicing Saw | 150 mm | 1 | inquire | |||
109110 | Disco | DAD 3350 | Automatic Dicing Saw | 200 mm | 01.01.2008 | 6 | as is where is | immediately | |
109111 | Disco | DFD 6240 | Fully automatic dicing saw | 200 mm | 01.06.2007 | 1 | as is where is | immediately | |
106300 | DISCO | DFL-7340 | Laser Dicing Saw | 150 mm | 01.06.2012 | 1 | as is where is | immediately | |
106816 | Disco | DAD 321 | Automatic wafer dicing saw | 150 mm | 01.05.2001 | 1 | as is all rebuilt | immediately | |
112720 | DISCO | DAD 320 | DICING SAW | 150 MM | 01.06.1994 | 1 | as is where is | ||
114541 | Disco | DTU 1531 | CHILLER | Assembly | 1 | as is where is | |||
114542 | Disco | DTU 1550 | CHILLER | Assembly | 1 | as is where is | |||
113285 | DISCO | DFL7340 | Laser Dicing Saw | 150 mm | 1 | as is where is | immediately | ||
108951 | Disco | DSC 141 | After Sawing Cleaner | 1 | as is where is | immediately | |||
110745 | Disco | DTU 152 | Water Temperature Controller Unit | Assembly | 01.06.2016 | 5 | as is where is | ||
110764 | Disco | DFL7340 | Laser Saw | 200 mm | 01.06.2011 | 1 | as is where is | immediately | |
106461 | Disco | DFD6361 | DICING SAW | 150-200 mm | 01.11.2009 | 1 | as is where is | immediately | |
106462 | Disco | DFD6362 | DICING SAW | 150 mm/200 mm | 01.05.2016 | 1 | as is where is | immediately | |
106463 | Disco | DFD651 | 8" Dual Spindle Automated DICING Saw | 150 mm/200 mm | 01.06.1995 | 1 | as is where is | immediately | |
90089 | DISCO | DFL7160 | WBL LASER SAW (DAF Type) | 300 mm | 01.05.2012 | 1 | as is where is | immediately | |
114420 | Disco | DGP 8761 | Fully Automatic Back Grinder / Polisher with universal chuck | 200 mm / 300 mm | 01.07.2019 | 1 | as is where is | immediately | |
114428 | Disco | DTU152 | Water temperature controller unit | 10.06.2013 | 1 | as is where is | immediately | ||
113159 | DNS | RF3 | I-LINE TRACK | 300 mm | 01.06.2006 | 1 | as is where is | ||
113160 | DNS | RF3S | TRACK | 300 mm | 1 | as is where is | |||
91658 | DNS | SS-3000-A | Scrubber (4F) | 300 mm | 1 | as is where is | |||
91674 | DNS | SU-3000 | Cleaner (MP Type) (2L/P) | 300 mm | 1 | as is where is | |||
91675 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) (1R) | 300 mm | 1 | as is where is | |||
91677 | DNS | SU-3000 | Cleaner (MP Type) (2L/P) | 300 mm | 1 | as is where is | |||
91678 | DNS | SU-3000 | Cleaner (MP Type) (2L/P) | 300 mm | 1 | as is where is | |||
112158 | DNS | RF-300A | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
91679 | DNS | SU-3000 | Cleaner (MP Type) (2L/P) | 300 mm | 1 | as is where is | |||
112159 | DNS | RF3 | Photoresist coater and developer track | 300 mm | 01.06.2005 | 1 | as is where is | ||
91680 | DNS | SU-3000 | Cleaner (SR Type) (3L/P) | 300 mm | 1 | as is where is | |||
110624 | DNS | FC-821L | Wet | 200 mm | 1 | as is where is | |||
112160 | DNS | SS-3000-AR | Track Scrubber | 300 mm | 01.06.2006 | 1 | as is where is | ||
91681 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) | 300 mm | 1 | as is where is | |||
110625 | DNS | FC-821L | Wet | 200 mm | 1 | as is where is | |||
112161 | DNS | SS-3100 | Track Scrubber | 300 mm | 01.06.2009 | 1 | as is where is | ||
110626 | DNS | SPW-813A | Wet | 200 mm | 1 | as is where is | |||
112162 | DNS | SS-W60A-AR | Track Scrubber | 150 mm | 01.06.1995 | 1 | as is where is | ||
91683 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) | 300 mm | 1 | as is where is | |||
112163 | DNS | SS-W60A-AR | Track Scrubber | 150 mm | 01.06.1996 | 1 | as is where is | ||
91684 | DNS | SU-3000 | Cleaner (MP Type) (3L/P) | 300 mm | 31.05.2008 | 1 | as is where is | ||
110628 | DNS | SCW-80A Coater | Lithography Coater and Developer | 200 mm | 1 | as is where is | |||
112164 | DNS | SS-W80A-A | Track Scrubber | 200 mm | 1 | as is where is | |||
110629 | DNS | SDW-80A Developer | Lithography Coater and Developer | 200 mm | 1 | as is where is | |||
112165 | DNS | SS-W80A-A | Track Scrubber | 200 mm | 1 | as is where is | |||
110630 | DNS | SK-3000-BVPEU | Lithography Coater and Developer | 300 mm | 1 | as is where is | |||
112166 | DNS | SS-W80A-AR | Track Scrubber | 200 mm | 01.06.1998 | 1 | as is where is | ||
112167 | DNS | SU-3100 | WET | 300 mm | 01.06.2014 | 1 | as is where is | ||
112168 | DNS | SU-3100 | WET Single Cleaning | 300 mm | 01.06.2009 | 1 | as is where is | ||
112169 | DNS | WS-820L | WET Batch Cleaning | 200 mm | 01.06.1997 | 1 | as is where is | ||
112170 | DNS | WS-820L | WET Batch Cleaning | 200 mm | 1 | as is where is | |||
112171 | DNS | WS-820L | WET Batch Cleaning | 200 mm | 01.06.1997 | 1 | as is where is | ||
110637 | DNS | FC3000 | Wet | 300 mm | 1 | as is where is | |||
113485 | DNS | DNS622 | Wet Metal Etcher | 150 mm | 01.06.1998 | 1 | as is where is | ||
114256 | DNS | AS-2000 | Post CMP cleaning system | 200 mm | 01.08.1998 | 1 | as is where is | immediately | |
114257 | DNS | AS-2000 | Post CMP cleaning system | 200 mm | 01.06.1999 | 1 | as is where is | immediately | |
113758 | DNS | SS-W60A-AR | WET Clean Scrubber | 150 mm | 01.06.1995 | 1 | as is where is | ||
103520 | DNS | SS-3000-AR | Wafer Scrubber | 300 mm | 31.05.2003 | 1 | as is where is | ||
103521 | DNS | SS-3000-AR | Wafer Scrubber | 300 mm | 31.05.2001 | 1 | as is where is | ||
108898 | DNS | AS2000 | Oxide Wafer scrubbing system | 200 mm | 01.08.2000 | 1 | as is where is | immediately | |
103523 | DNS | SU-3000 | Cleaner (SR Type)(SST) (3LoadPort) | 300 mm | 31.05.2003 | 1 | as is where is | ||
111715 | DNS | SU-3000 | Single Wafer Processing | 300mm | 1 | as is where is | |||
103524 | DNS | SU-3000 | Cleaner (MP Type) (2_AM1/2_DHF) (2LoadPort) | 300 mm | 1 | as is where is | |||
110952 | DNS | HT24DI16 | ALGO | Spares | 5 | inquire | |||
114024 | DNS | SS-3000-A | Wafer Scrubber , 4 front configuration | 300 MM | 01.06.2005 | 1 | as is where is | ||
110953 | DNS | HT24DIO32 | ALGO | Spares | 5 | inquire | |||
110954 | DNS | Scrubber Spare Parts | DTC/TBC U/D ASSY | Spares | 5 | inquire | |||
110955 | DNS | Scrubber Spare Parts | DBC U/D ASSY | Spares | 5 | inquire | |||
109164 | DNS | SS-3000 | Wafer Scrubber | 300mm | 1 | as is where is | |||
110956 | DNS | AMD322-8US-6-0-4 | CKD | Spares | 5 | inquire | |||
110957 | DNS | AMD312-8US-6-24R | CKD | Spares | 5 | inquire | |||
110958 | DNS | AMD312-8US-6-0-4 | CKD | Spares | 5 | inquire | |||
110959 | DNS | AMD312-X0221 | CKD | Spares | 5 | inquire | |||
110960 | DNS | AMD312-8US-6-3-4 | CKD | Spares | 5 | inquire | |||
110961 | DNS | SGM-A3L3J26 | AC servo motor | Spares | 5 | inquire | |||
110962 | DNS | SGM-A5L314CP | AC servo motor | Spares | 5 | inquire | |||
110963 | DNS | SGM-A3LWJ46 | AC servo motor | Spares | 5 | as is where is | immediately | ||
110964 | DNS | SGM-A3L3J46P | AC servo motor | Spares | 5 | inquire | |||
110965 | DNS | SGD-A3BH | SERVOPACK | Spares | 5 | inquire | |||
110966 | DNS | SGD-02BH | SERVOPACK | Spares | 5 | inquire | |||
114294 | DNS | AS-2000 | Post CMP wafer scrubber | 200 mm | 01.01.1999 | 1 | as is where is | ||
110967 | DNS | MX-7500 | DBC/TBC BRUSH LOAD CELL AMP (TECHNICAL&TRY | Spares | 5 | inquire | |||
114295 | DNS | AS-2000 | Post CMP wafer scrubber | 200 mm | 01.06.1999 | 1 | as is where is | ||
110968 | DNS | GTC-2000E | N2 HEAT PACK | Spares | 5 | inquire | |||
114296 | DNS | AS-2000 | Post CMP wafer scrubber | 200 mm | 01.08.1998 | 1 | as is where is | ||
110969 | DNS | 739-57668 | N2 FLOW METER(TOKYO KEISO) | Spares | 5 | inquire | |||
114297 | DNS | AS-2000 | Post CMP wafer scrubber | 200 mm | 01.08.1998 | 1 | as is where is | ||
110970 | DNS | 739-63356 | FLOW METER(TOKYO KEISO) | Spares | 5 | inquire | |||
114298 | DNS | SS-W80A-AR | Wafer Scrubber | 200 mm | 01.01.1998 | 1 | as is where is | ||
110971 | DNS | CDRQ2BS30-180C-A93 | SMC (SHUTER CYLINDER) | Spares | 5 | inquire | |||
114299 | DNS | SS-W80A-AR | Wafer Scrubber | 200 mm | 01.11.1997 | 1 | as is where is | ||
108156 | DNS | SS-W80A-AR | Wafer & Mask Scrubber | 200 mm | 01.06.2000 | 1 | as is where is | ||
110972 | DNS | Scrubber Spare Parts | MT ARM SENSOR ASSY | Spares | 5 | inquire | |||
114300 | DNS | SS-W80A-AR | Wafer Scrubber | 200 mm | 01.03.1997 | 1 | as is where is | ||
108157 | DNS | SU-3000 | Cleaner (SR Type)(SST) (3LoadPort) | 300 mm | 01.06.2004 | 1 | as is where is | ||
110973 | DNS | 7-39-33737 | FSB BOARD | Spares | 5 | inquire | |||
114301 | DNS | SU-3100 | Wet Processing System | 300 mm | 01.06.2008 | 1 | as is where is | ||
110974 | DNS | MS61L | PRESSURE SWITCH | Spares | 5 | inquire | |||
110975 | DNS | NK005-2 | D-SONIC FLOW METER | Spares | 5 | inquire | |||
110976 | DNS | H5CN-XANS | OMRON TIMER | Spares | 5 | inquire | |||
110977 | DNS | H7CL-ADS | OMRON COUNTER | Spares | 5 | inquire | |||
110978 | DNS | Scrubber Spare Parts | PRESSURE GAUGE | Spares | 5 | inquire | |||
110979 | DNS | Scrubber Spare Parts | EXHAUST GAUGE | Spares | 5 | inquire | |||
110980 | DNS | CZ-40P-AP08-D | FLOW SENSOR | Spares | 5 | inquire | |||
110981 | DNS | DP2-20Z | fLOW METER | Spares | 5 | inquire | |||
110982 | DNS | FX-13 | AMPLIFIER | Spares | 5 | inquire | |||
110983 | DNS | E3X-DA21 | OMRON AMPLIFIER | Spares | 5 | inquire | |||
110984 | DNS | N4S010 | CKD | Spares | 5 | inquire | |||
110985 | DNS | Scrubber Spare Parts | SPIN U/D CYLINDER | Spares | 5 | inquire | |||
110986 | DNS | Scrubber Spare Parts | CHUCK O/C CYLINDER | Spares | 5 | inquire | |||
110987 | DNS | KG5025 | LEVEL SENSOR | Spares | 5 | inquire | |||
110988 | DNS | SS-A1 | CONVERTOR | Spares | 5 | inquire | |||
110989 | DNS | Scrubber Spare Parts | CLEAN CUP | Spares | 5 | inquire | |||
110990 | DNS | 700.252.705 | GF+ VALVE | Spares | 5 | inquire | |||
105871 | DNS | 2-39-06099 | NISSHIN VACUUM gauge 0-76 cmHg | spares | 1 | as is where is | immediately | ||
110991 | DNS | Scrubber Spare Parts | MT ARM | Spares | 5 | inquire | |||
105872 | DNS | 2-39-06106 | NISSHIN pressure gauge 0-0.5 kgf cm2 | spares | 1 | as is where is | immediately | ||
110992 | DNS | Scrubber Spare Parts | SHUT DOOR PULLY | Spares | 5 | inquire | |||
110993 | DNS | Scrubber Spare Parts | MAIN HLS BOARD | Spares | 5 | inquire | |||
110994 | DNS | AMD31-15-12-3 | CKD VALVE | Spares | 5 | inquire | |||
110995 | DNS | AMD41-20-20-5FX-4 | CKD VALVE | Spares | 5 | inquire | |||
93076 | DNS | SK-200W-AVPF | Coater / Developer system (2C/2D) | 200 mm | 31.05.1997 | 1 | as is where is | ||
110996 | DNS | Scrubber Spare Parts | D-SONIC ARM | Spares | 5 | inquire | |||
113044 | DNS | SK-80BW-AVPE | Coater/ Developer (2C/2D) | 200 mm | 01.06.1995 | 1 | as is where is | ||
93077 | DNS | SK-200W-BVPE | i-Line Photo Track Coater (3C3D) | 200 mm | 31.05.1997 | 1 | as is where is | ||
110997 | DNS | Scrubber Spare Parts | N2 PLATE | Spares | 5 | inquire | |||
113048 | DNS | SS-3000-AR | Wafer Scrubber no HDD | 300 mm | 01.06.2007 | 1 | as is where is | ||
108697 | DNS | SU3000 Acquaspin | Wet wafer acid processing | 300 MM | 01.06.2004 | 1 | inquire | ||
106651 | DNS | SS-3000-AR | WET SCRUBBER – No HDD | 300 mm | 01.06.2007 | 1 | as is where is | ||
113051 | DNS | SS-3000-AR | Wafer Scrubber (4Back) (Including HDD) | 300 mm | 01.06.2004 | 1 | as is where is | ||
106652 | DNS | SS-3000-AR | Wafer Scrubber (4Back) (Including HDD) | 300 mm | 01.06.2007 | 1 | as is where is | immediately | |
113053 | DNS | SS-3100 | Wafer Scrubber | 300 mm | 01.06.2012 | 1 | as is where is | ||
106654 | DNS | SU3100 | WET ETCH | 300 mm | 1 | as is where is | |||
113055 | DNS | SU-3000 | Cleaner (MP Type)(DHF) (2LoadPort) | 300 mm | 1 | as is where is | |||
113056 | DNS | SU-3100 | Wafer Scrubber | 300 mm | 1 | as is where is | |||
113057 | DNS | SS-3000-AR | Wafer Scrubber | 300 mm | 1 | as is where is | |||
111526 | DNS | DUO | Photoresist Coater and Developer track | 300 mm | 01.06.2018 | 1 | as is where is | ||
114089 | DNS | SU-3000 | Single Wafer Processing | 300 mm | 1 | as is where is | |||
114090 | DNS | SU-3100 | Single Wafer Processing | 300 mm | 1 | as is where is | |||
114091 | DNS | SU-3100 | Single Wafer Processing | 300 mm | 1 | as is where is | |||
91581 | DNS | SK-80BW AVPE | Photoresist Coater and Developer system (2C/2D) | 200 mm | 31.05.1995 | 1 | as is where is | 3 months | |
18878 | DNS | 806 9406 | D-SPIN 60A Instruction manual | 1 | as is where is | ||||
18879 | DNS | 803-9605 | D-SPIN 80A Parts list | 1 | as is where is | ||||
18880 | DNS | 8089702 | D-SPIN 80A Engineer's manual | 1 | as is where is | ||||
18881 | DNS | 2229 | D-SPIN 80A Electrical circuit diagram | 1 | as is where is | ||||
18882 | DNS | 603 9309 | D-SPIN 60A Part list | 1 | as is where is | ||||
93378 | DNS | WS820L | Wet Bench (Porous Silicon Process) | 200 mm | 01.08.2011 | 1 | as is where is | immediately | |
18883 | DNS | 006 9105 | D-SPIN 622 Service manual / part list | 1 | as is where is | ||||
18884 | DNS | 6398 | D-SPIN 60 A Electrical circuit diagram | 1 | as is where is | ||||
18885 | DNS | 6398 | D-SPIN 60 A Part list | 1 | as is where is | ||||
18886 | DNS | 6398 | D-SPIN 60A Service manual | 1 | as is where is | ||||
18887 | DNS | 806-9311 | D-SPIN 60A Instruction manual | 1 | as is where is | ||||
18888 | DNS | 603-9311 | D-SPIN 60A Part list | 1 | as is where is | ||||
18889 | DNS | 806-9371 | D-SPIN 60 Instruction manual | 1 | as is where is | ||||
114392 | DNS | SS3100 | Wet bench | 300 mm | 1 | inquire | |||
114393 | DNS | SU3200 | Wet bench | 300 mm | 1 | inquire | |||
98268 | DNS | SS-3100 | WET Scrubber | 300 mm | 31.05.2012 | 1 | inquire | immediately | |
94447 | DNS | DNS SS-3000-A | Bevel Scrubber (4F) | 300 mm | 31.05.2006 | 1 | as is where is | ||
94448 | DNS | DNS SS-3000-A | Bevel Scrubber (4F) | 300 mm | 31.05.2011 | 1 | as is where is | ||
94449 | DNS | DNS SS-3000-A | Wafer Scrubber (4Front) | 300 mm | 1 | as is where is | |||
94450 | DNS | DNS SS-3000-AR | Bevel Scrubber (4B) | 300 mm | 31.05.2008 | 1 | as is where is | ||
106738 | DNS | DUOI | ARF-I TRACK | 300 mm | 1 | as is where is | immediately | ||
94454 | DNS | DNS SU-3000 | Cleaner (MP Type)(DHF) (2LoadPort) | 300 mm | 1 | as is where is | |||
94456 | DNS | DNS SU-3000 | Cleaner (SR Type)(SST) (3LoadPort) | 300 mm | 31.05.2004 | 1 | as is where is | ||
109567 | DNS | SS-3000-AR | Batch Wafer Cleaner | 300 mm | 01.05.2007 | 1 | as is where is | immediately | |
106817 | DNS / Sokuda | RF3S | Photoresist coater and developer( 5C5D) track | 300 mm | 1 | as is where is | immediately | ||
110621 | DNS / Sokudo | RF3 | Lithography Coater and Developer | 300 mm | 1 | as is where is | |||
108220 | DNS / SOKUDO | RF3 | Photoresist Coater and Developer Track | 300 mm | 01.06.2019 | 1 | inquire | immediately | |
111362 | DNS Dai Nippon Screen | CW2000 | Wet stations | 1 | as is where is | immediately | |||
112018 | DNS Dai Nippon Screen | CW-2000 | Wet Stations | 01.01.2018 | 2 | as is where is | immediately | ||
83876 | DOMNICK HUNTER | QR 010 REV.4.0 | FILTER | 30.09.2007 | 2 | as is where is | immediately | ||
107440 | Dressler | VM 1000 A | RF Match | Spares | 1 | as is where is | immediately | ||
107444 | Dressler | VM 700 A | RF Match | Spares | 1 | as is where is | immediately | ||
110046 | Dressler | Cesar 1312 | Generator | SPARES | 1 | inquire | |||
110047 | Dressler | Cesar 1312 | Generator | SPARES | 1 | inquire | |||
110048 | Dressler | Cesar 1312 | Generator | SPARES | 1 | inquire | |||
110049 | Dressler | Cesar 133 | Generator | SPARES | 1 | inquire | |||
110050 | Dressler | Cesar 1330 | Generator | SPARES | 1 | inquire | |||
110051 | Dressler | Cesar 136 | Generator | SPARES | 1 | inquire | |||
110052 | Dressler | Cesar 136 | Generator | SPARES | 1 | inquire | |||
110053 | Dressler | Cesar 136 | Generator | SPARES | 1 | inquire | |||
110054 | Dressler | Cesar 136 | Generator | SPARES | 1 | inquire | |||
110055 | Dressler | Cesar 136 | Generator | SPARES | 1 | inquire | |||
110056 | Dressler | Cesar 136 | Generator | SPARES | 1 | inquire | |||
110057 | Dressler | Cesar 2740 | Generator | SPARES | 1 | as is all rebuilt | immediately | ||
110058 | Dressler | Hilight | Generator | SPARES | 1 | inquire | |||
110059 | Dressler | Hilight 133 | Generator | SPARES | 1 | inquire | |||
110060 | Dressler | Hilight 133 | Generator | SPARES | 1 | inquire | |||
110061 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | |||
110062 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | |||
110063 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | |||
110064 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | |||
110065 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | |||
110066 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | |||
110067 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | |||
110068 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | |||
110069 | Dressler | VM 1000 A | Match | SPARES | 1 | inquire | |||
110070 | Dressler | VM 700 A | Match | SPARES | 1 | inquire | |||
83568 | Driver board | 005843 | Stepper motor driver board | Spares | 1 | as is where is | immediately | ||
110071 | Drytech | 13.56Mhz Power Source | Generator | SPARES | 1 | inquire | |||
105864 | DWYER | RMA-10-SSV | AIR FLOWMETER,1/8 NPT CONN.,UP TO 100PSI | Spares | 1 | as is where is | immediately | ||
112172 | DYNATECH | DT-NWM1050DM | Wafer Mounter | 200 mm | 01.06.2008 | 1 | as is where is | ||
114477 | DYNATECH | DT-ECS2030-PR | TAPER | 300 MM | 1 | as is where is | |||
114478 | DYNATECH | DT-TR304-PR | DE-TAPER | 300 MM | 1 | as is where is | |||
114480 | DYNATECH | DT-MWM 1030B | MANUAL TAPER | 300 MM | 1 | as is where is | |||
114482 | DYNATECH | DT-MTM1020A | MANUAL TAPER | 300 MM | 1 | as is where is | |||
114483 | DYNATECH | DT-AWM1500 | TAPER | 300 MM | 1 | as is where is | |||
79394 | Ebara | A30W | Vacuum Pump | Pump | 1 | as is where is | immediately | ||
79395 | Ebara | A30W | Vacuum Pump | Pump | 1 | as is where is | immediately | ||
112173 | EBARA | EPO-2228 | CMP Oxide | 200 mm | 01.06.1999 | 1 | as is where is | ||
112174 | EBARA | EPO-223 | CMP W | 200 mm | 01.06.1997 | 1 | as is where is | ||
112175 | EBARA | F-REX300 | CMP W | 300 mm | 01.06.2010 | 1 | as is where is | ||
112176 | EBARA | F-REX300S2 | CMP W | 300 mm | 01.06.2009 | 1 | as is where is | ||
108620 | EBARA | AA70WN | Vacuum Pump | PUMP | 1 | as is where is | |||
108621 | EBARA | AA70W | Vacuum Pump | PUMP | 3 | as is where is | |||
108622 | EBARA | A30W | Vacuum Pump | PUMP | 2 | as is where is | |||
112721 | Ebara | 305W | Turbo Pump Controller | Pump | 4 | as is where is | |||
112722 | Ebara | A10S | Mechanical Pump | Pump | 3 | as is where is | |||
112723 | Ebara | AA20 | Mechanical Pump | Pump | 1 | as is where is | |||
112724 | Ebara | ET 2500 WS | Turbomolecular Pump | Pump | 1 | as is where is | |||
77147 | Ebara | Ebanet 1250 AD | Communications module for dry pumps | facilities | 1 | as is where is | immediately | ||
111726 | Ebara | FREX300S Dielectric | Dielectric CMP | 300mm | 1 | as is where is | |||
111728 | Ebara | FREX300S Tungsten | Tungsten CMP | 300mm | 1 | as is where is | |||
111729 | Ebara | FREX300S Tungsten | Tungsten CMP | 300mm | 1 | as is where is | |||
108409 | Ebara | FREX300S Poly/STI | Poly/STI CMP | 300 mm | 1 | as is where is | |||
98460 | Ebara | Frex 300 | Tungsten CMP tool | 300 mm | 1 | as is where is | immediately | ||
98461 | Ebara | Frex 300 | STI CMP ( missing front end robot and load port) | 300 mm | 1 | as is where is | immediately | ||
70304 | EBARA | 30024-02 | RING, ONE PIECE EBARA FREX 200 | SPARES | 1 | as is where is | immediately | ||
70305 | EBARA | C-1120-008-0001 | BALL SCREW, APROX 170 CM LENGTH, FOR EBARA FREX 200 | SPARES | 1 | as is where is | immediately | ||
70306 | Ebara | FREX 200 (Spares) | PRESSURE SENSITIVE VALVE FOR EBARA FREX 200 | SPARES | 2 | as is where is | immediately | ||
110757 | Ebara | FREX 300 | Tungsten CMP system | 300 mm | 2 | as is where is | immediately | ||
114092 | Ebara | EPO-222 Tungsten | Tungsten CMP | 200 mm | 1 | as is where is | |||
114093 | Ebara | FREX300 Tungsten | Tungsten CMP | 300 mm | 1 | as is where is | |||
114094 | Ebara | FREX300S Tungsten | Tungsten CMP | 300 mm | 1 | as is where is | |||
114095 | Ebara | FREX300S Tungsten | Tungsten CMP | 300 mm | 1 | as is where is | |||
114096 | Ebara | FREX300S Tungsten | Tungsten CMP | 300 mm | 1 | as is where is | |||
114097 | Ebara | FREX300S Tungsten | Tungsten CMP | 300 mm | 1 | as is where is | |||
114098 | Ebara | FREX300S2 | Multi-Process CMP | 1 | as is where is | ||||
106174 | Ebara | AAS70WN | Dry pump | 01.01.2004 | 8 | as is where is | immediately | ||
111551 | EBARA | A300W-MSTANDARD | Mechanical Vacuum Pump | PUMP | 2 | as is where is | |||
111552 | EBARA | A300W-MIU | Mechanical Vacuum Pump | PUMP | 5 | as is where is | |||
111553 | EBARA | A500W-MIU | Mechanical Vacuum Pump | PUMP | 3 | as is where is | |||
111554 | EBARA | A300W-T | Mechanical Vacuum Pump | PUMP | 1 | as is where is | |||
111555 | EBARA | A300W-TM | Mechanical Vacuum Pump | PUMP | 1 | as is where is | |||
110796 | Ebara | FREX 300 | Tungsten CMP tool | 300 mm | 01.05.2005 | 2 | as is where is | immediately | |
111596 | Ebara | EPO 222 | Oxide CMP | 200 mm | 01.02.1999 | 1 | as is where is | immediately | |
113956 | ECI | QFDS1800 | Qualifill Chemical Mgmt System | 1 | inquire | ||||
106466 | ECI | QL-10-EX | PLATING BATH ANALYSER | Facilities | 1 | as is where is | immediately | ||
107007 | ECO Snow | VersaClean 1200 | Mask / Substrate cleaner | 150 mm | 01.10.2012 | 1 | as is where is | immediately | |
80001 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver module for BIB oven | Reliability | 1 | as is where is | immediately | ||
80029 | EDA Industries | PCBA 05758 | UBTS Diagnostic Board for BIB oven | Reliability | 1 | as is where is | immediately | ||
80030 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver module for BIB oven | Reliability | 1 | as is where is | immediately | ||
80031 | EDA Industries | PCBA 05431 | DRIVER module for BIB oven | Reliability | 6 | as is where is | immediately | ||
80032 | EDA Industries | PCBA 07009 | PTDM TO ART 200 EXTENDER CARD | Reliability | 1 | as is where is | immediately | ||
80040 | EDA Industries | PCBA 05568 REV 1.4 | Pattern test Driver module for BIB oven | Reliability | 1 | as is where is | immediately | ||
80041 | EDA Industries | PCBA 05568 REV 1.4 | Pattern test Driver module for BIB oven | Reliability | 1 | as is where is | immediately | ||
80042 | EDA Industries | PCBA 05568 REV 1.2 | Pattern test Driver module for BIB oven with 6 drivers | Reliability | 1 | as is where is | immediately | ||
80045 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver module for BIB oven with 6 drivers | Reliability | 1 | as is where is | immediately | ||
80052 | EDA Industries | PCBA 05568 REV 1.3 | Pattern test Driver module for BIB oven with 3 x PSU | Reliability | 1 | as is where is | immediately | ||
80080 | EDA Industries | PCBA 05568 REV 1.4 | Pattern test Driver PCB for BIB oven | Reliability | 1 | as is where is | immediately | ||
80081 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver PCB for BIB oven with 6 drivers | Reliability | 1 | as is where is | immediately | ||
80082 | EDA Industries | PCBA 05568 REV 1.2 | Pattern test Driver module for BIB oven with 3 x PSU | Reliability | 1 | as is where is | immediately | ||
80084 | EDA Industries | PCBA 05568 REV 1.6 | Pattern test Driver PCB for BIB oven with 6 drivers | Reliability | 1 | as is where is | immediately | ||
80033 | EDA Industries ERNI | 914796 RC | BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated). | Reliability | 256 | as is where is | immediately | ||
106200 | EDAX | Eagle 2 | Micro-probe EDX analyser | Laboratory | 01.06.2000 | 1 | as is where is | immediately | |
112725 | Edward CTI Cryogenic | On-Board IS 320FE | Cryogenic Pump | Pump | 1 | as is where is | |||
82180 | Edwards | Flange | Edwards pump flange 10 7/8" i.d. 11 3/8" O.D. | 1 | as is where is | immediately | |||
109572 | EDWARDS | iH1000 Mark 5 | Dry vacuum Pump | PUMP | 50 | as is where is | immediately | ||
109573 | EDWARDS | iH1800 Mark 5 | Dry vacuum Pump | PUMP | 35 | as is where is | immediately | ||
108812 | EDWARDS | E2M5 | Mechanical Vacuum Pump | PUMP | 1 | inquire | |||
108813 | EDWARDS | E2M18 | Mechanical Vacuum Pump | PUMP | 1 | inquire | |||
108814 | EDWARDS | iQDP80/QMB500 | Dry Vacuum Pump/Blower Package, with Lower Enclosure | PUMP | 1 | inquire | |||
108564 | Edwards | EPX500NE | Mechanical Vacuum Pump | Pump | 1 | as is where is | immediately | ||
95559 | Edwards | iQDP40 | Dry Mechanical Pump | Pump | 01.05.2000 | 1 | as is where is | immediately | |
108623 | EDWARDS | QMB500 | Vacuum Pump | PUMP | 3 | as is where is | |||
108624 | EDWARDS | IPX100A | Vacuum Pump | PUMP | 3 | as is where is | |||
108625 | EDWARDS | IPX100 | Vacuum Pump | PUMP | 1 | as is where is | |||
108626 | EDWARDS | IH1800 | Vacuum Pump | PUMP | 15 | as is where is | |||
108627 | EDWARDS | IH1000 | Vacuum Pump | PUMP | 21 | as is where is | |||
108628 | EDWARDS | IGX100L | Vacuum Pump | PUMP | 1 | as is where is | |||
108629 | EDWARDS | IGX1000N | Vacuum Pump | PUMP | 1 | as is where is | |||
108630 | EDWARDS | EPXTWIN180L | Vacuum Pump | PUMP | 3 | as is where is | |||
112726 | Edwards | B75030220 | Turbo Pump Controller | Pump | 6 | as is where is | |||
108631 | EDWARDS | EPX500LE | Vacuum Pump | PUMP | 5 | as is where is | |||
112727 | Edwards | E2M12 | Mechanical Pump | Pump | 1 | as is where is | |||
108632 | EDWARDS | EPX180NE | Vacuum Pump | PUMP | 3 | as is where is | |||
112728 | Edwards | E2M175 | Mechanical Pump | Pump | 1 | as is where is | |||
108633 | EDWARDS | EPX180LE | Vacuum Pump | PUMP | 7 | as is where is | |||
112729 | Edwards | E2M8 | Mechanical Pump | Pump | 1 | as is where is | |||
108634 | EDWARDS | EPX180L | Vacuum Pump | PUMP | 1 | as is where is | |||
112730 | Edwards | E2M80 | Mechanical Pump | Pump | 12 | as is where is | |||
108635 | EDWARDS | EPX 500NE | Vacuum Pump | PUMP | 1 | as is where is | |||
112731 | Edwards | E2M80/EH250 | Mechanical Pump Combo | Pump | 1 | as is where is | |||
112732 | Edwards | E2M80/EH500 | Mechanical Pump Combo | Pump | 1 | as is where is | |||
112733 | Edwards | E2M80/EH500FF | Mechanical Pump Combo | Pump | 1 | as is where is | |||
112734 | Edwards | ESDP 12 | Mechanical Pump | Pump | 1 | as is where is | |||
112735 | Edwards | ESDP30A | Mechanical Pump | Pump | 1 | as is where is | |||
112736 | Edwards | EXT70H | Turbomolecular Pump | Pump | 2 | as is where is | |||
112737 | Edwards | IPX100 | Mechanical Pump | Pump | 1 | as is where is | |||
112738 | Edwards | IPX100 | Mechanical Pump | Pump | 1 | as is where is | |||
112739 | Edwards | IPX500A | Mechanical Pump | Pump | 1 | as is where is | |||
112740 | Edwards | IQDP40 | Mechanical Pump | Pump | 2 | as is where is | |||
112741 | Edwards | IQDP40/QMB250 | Mechanical Pump Combo | Pump | 2 | as is where is | |||
112742 | Edwards | IXH 600 | Mechanical Pump | Pump | 1 | as is where is | |||
112743 | Edwards | nEXT300D | Turbomolecular Pump | Pump | 1 | as is where is | |||
112744 | Edwards | QDP40 | Mechanical Pump | Pump | 1 | as is where is | |||
112745 | Edwards | QDP40-QMB250F | Mechanical Pump Combo | Pump | 1 | as is where is | |||
112746 | Edwards | QDP80 w/ Q-Series Controller | Mechanical Pump | Pump | 1 | as is where is | |||
112747 | Edwards | QDP80/QMB500 Control and Enclosure | Mechanical Pump Combo | Pump | 3 | as is where is | |||
112748 | Edwards | QDP80/QMB500F with Q-Series Controller | Mechanical Pump Combo | Pump | 1 | as is where is | |||
112749 | Edwards | QMKII--QDP80/QMB500 | Mechanical Pump Combo | Pump | 1 | as is where is | |||
112750 | Edwards | RV 12 | Mechanical Pump | Pump | 31 | as is where is | |||
112751 | Edwards | RV 12 | Mechanical Pump | Pump | 1 | as is where is | |||
112752 | Edwards | RV 3 | Mechanical Pump | Pump | 2 | as is where is | |||
112753 | Edwards | SCU-1500 | Turbo Pump Controller | Pump | 2 | as is where is | |||
112754 | Edwards | Spectron 5000 | Leak detector with Diffusion Pump | Facilities | 1 | as is where is | |||
112755 | Edwards | XDS10 | Mechanical Pump | Pump | 1 | as is where is | |||
83582 | EDWARDS | Trace heating Control Unit | Scrubber Trace heating control unit | Facilities | 1 | as is where is | immediately | ||
77209 | Edwards | Flange | Edwards pump flange 5 1/8" OD 4" ID | 1 | as is where is | immediately | |||
106919 | Edwards | STP-A1303C | TURBOMOLECULAR PUMP | PUMP | 01.06.2002 | 1 | as is where is | immediately | |
18865 | EDWARDS | A529-80-905 | QMKII dry pumping system | 2 | as is where is | ||||
72127 | Edwards | Speedivalve | SPEEDI VALVE | Spares | 1 | as is where is | immediately | ||
72128 | Edwards | 040020030 | Cable, motor drive, 5M seiko | Spares | 1 | as is where is | immediately | ||
54217 | Edwards | iQDP80 / QMB1200 | Dry Vacuum Pump combo | pump | 01.03.2007 | 3 | as is where is | immediately | |
54218 | Edwards | iQDP80 / QMB1200 | Dry Vacuum Pump combo | pump | 01.03.2007 | 1 | as is where is | immediately | |
54219 | Edwards | iQDP80 / QMB1200 | Dry Vacuum Pump combo | pump | 01.03.2007 | 1 | as is where is | immediately | |
54220 | Edwards | QDP80 + QMB 250F | Dry Vacuum Pump combo | pump | 31.05.2000 | 1 | as is where is | immediately | |
74445 | Edwards | iQDP 80 | Dry vacuum pump | PUMP | 01.06.2000 | 1 | as is where is | ||
54221 | Edwards | QDP80 + QMB 250F | Dry Vacuum Pump combo | pump | 31.05.2000 | 1 | as is where is | immediately | |
74446 | Edwards | iQDP 80 | Dry vacuum pump | PUMP | 01.06.2000 | 1 | as is where is | ||
54222 | Edwards | QDP80 | Dry Vacuum Pump | pump | 31.05.2000 | 1 | as is where is | immediately | |
74447 | Edwards | iQDP 80 + QMB 500F | Dry vacuum pump COMBO | PUMP | 01.06.2000 | 1 | as is where is | ||
74448 | Edwards | iQDP 80 + QMB 500F | Dry vacuum pump combo | PUMP | 01.06.2000 | 1 | as is where is | ||
74449 | Edwards | iQDP 80 + QMB 500F | Dry vacuum pump combo | PUMP | 01.06.2000 | 1 | as is where is | ||
74450 | Edwards | iQDP 80 + QMB250F | Dry vacuum pump combo | PUMP | 01.06.2000 | 1 | as is where is | ||
106972 | Edwards | QDP80 Drystar | Dry Vacuum pump with power box | pump | 01.06.1995 | 1 | as is where is | immediately | |
106973 | Edwards | QDP80 + QMB250F | Dry Vacuum pump combo with power box | pump | 01.06.1995 | 1 | as is where is | immediately | |
106974 | Edwards | QDP40 + QMB250F | Dry Vacuum pump combo with power box | pump | 01.06.1995 | 1 | as is where is | immediately | |
106975 | Edwards | QDP80 + QMB250F | Dry Vacuum pump combo with power box | pump | 01.06.1995 | 1 | as is where is | immediately | |
11233 | EDWARDS | GVI 100P | Gate valve | SPARES | 1 | as is where is | immediately | ||
18921 | EDWARDS | 03-A344-02-881 | Rotary vacuum pump E1M40, E1M80,E2M40,E2M80 | 1 | as is where is | ||||
18922 | EDWARDS | 03-A301-51-883 | Eh series mechanical booster pump and combination units | 1 | as is where is | ||||
18923 | EDWARDS | 03-A344-02-882 | Rotary vacuum pump E1M40,E2M40,E2M80 and E2M40S, E2M80S superpumps | 1 | as is where is | ||||
69878 | Edwards / Seiko Seiki | STP 1000C | TURBO PUMP TMP 100C 250 ISO-K/KF40 | VACUUM PUMP | 01.10.1999 | 1 | as is where is | immediately | |
108041 | EDWARDS. ETC. | Vacuum Pumps | Various Vacuum Pumps, Tubo Pumps, Controllers for sale | Spares | 1 | as is where is | immediately | ||
79521 | Efector | 500 | Pressure sensor switch, programmable | 01.01.2001 | 1 | as is where is | immediately | ||
114524 | eFLOW | eFLOW 1000ck | CO2 BUBBLER | Assembly | 1 | as is where is | |||
114525 | eFLOW | eFLOW 2000ck | CO2 BUBBLER | Assembly | 1 | as is where is | |||
114526 | eFLOW | eFLOW 2000ck | CO2 BUBBLER | Assembly | 1 | as is where is | |||
114463 | EFSIKA SINGAPORE | SPRINT WLB | WIRE BONDER | Assembly | 1 | as is where is | |||
15658 | ELCO | MMC50-1 | POWER SUPPLY | spares | 1 | as is where is | immediately | ||
83636 | ELCO CO. LTD | K50A-15 | POWER SUPPLY | 15V3.4A | 3 | as is where is | immediately | ||
83639 | ELCO CO. LTD | K SERIES | POWER SUPPLY | K100 A-12 | 0 | as is where is | immediately | ||
78132 | Electroglas | Horizon 4085X | Fully Automatic Prober with Optem microscope and an inker | 125 mm, 150 mm and 200 mm | 01.01.1998 | 1 | as is where is | immediately | |
114302 | Electroglas | 3001X Prober | 200 mm | 1 | as is where is | ||||
110072 | Electrotech | LF A48257 | Match | SPARES | 1 | inquire | |||
110073 | Electrotech | LF A48257 | Match | SPARES | 1 | inquire | |||
110074 | Electrotech | LF A48257 | Match | SPARES | 1 | inquire | |||
99387 | ELES | ART 200 | Debug Station for Reliability Test System | RELIABILITY | 1 | as is where is | immediately | ||
79885 | Elind | 3232 | Laboratory power supply 0-3,2A current adj - 0 - 32 V voltage adj | Electronics Test and Measurement | 1 | as is where is | immediately | ||
79887 | Elind | 328 | Laboratory power supply 0-0,8A current adj - 0 - 32 V voltage adj | Lab Equipment | 01.05.1995 | 1 | as is where is | immediately | |
79596 | Elind | KL 1200W | Laboratory Power supply | Electronics Test and Measurement | 01.05.2000 | 4 | as is where is | immediately | |
110080 | ENI | ACG-10B | Generator | SPARES | 1 | inquire | |||
110081 | ENI | ACG-10B | Generator | SPARES | 1 | inquire | |||
110082 | ENI | ACG-10B | Generator | SPARES | 1 | inquire | |||
110083 | ENI | ACG-10B | Generator | SPARES | 1 | inquire | |||
110084 | ENI | ACG-10B | Generator | SPARES | 1 | inquire | |||
110085 | ENI | ACG-10B | Generator | SPARES | 1 | inquire | |||
110086 | ENI | ACG-10XL | Generator | SPARES | 1 | inquire | |||
110087 | ENI | ACG-3 | Generator | SPARES | 1 | inquire | |||
110088 | ENI | ACG-3 | Generator | SPARES | 1 | inquire | |||
110089 | ENI | ACG-3 | Generator | SPARES | 1 | inquire | |||
110090 | ENI | ACG-3 | Generator | SPARES | 1 | inquire | |||
110091 | ENI | ACG-3 | Generator | SPARES | 1 | inquire | |||
110092 | ENI | ACG-3 | Generator | SPARES | 1 | inquire | |||
110093 | ENI | ACG-3 | Generator | SPARES | 1 | inquire | |||
110094 | ENI | ACG-3B | Generator | SPARES | 1 | inquire | |||
110095 | ENI | ACG-3B | Generator | SPARES | 1 | inquire | |||
110096 | ENI | ACG-3B | Generator | SPARES | 1 | inquire | |||
110097 | ENI | ACG-3B | Generator | SPARES | 1 | inquire | |||
110098 | ENI | ACG-3DC | Generator | SPARES | 1 | inquire | |||
110099 | ENI | ACG-3DC | Generator | SPARES | 1 | inquire | |||
110100 | ENI | ACG-5 | Generator | SPARES | 1 | inquire | |||
110101 | ENI | ACG-6 | Generator | SPARES | 1 | inquire | |||
108566 | ENI | DCG-200Z-OPTIMA | RF Generator | SPARES | 01.12.2005 | 6 | as is where is | 2 weeks | |
110102 | ENI | ACG-6 | RF Generator | SPARES | 1 | as is all rebuilt | immediately | ||
108567 | ENI | RFC-6-03 | RF Generator | SPARES | 01.07.2006 | 1 | as is where is | immediately | |
110103 | ENI | DC Power Generator | Generator | SPARES | 1 | inquire | |||
110104 | ENI | DCG 200 | Generator | SPARES | 1 | inquire | |||
110105 | ENI | DCG 200 | Generator | SPARES | 1 | inquire | |||
107546 | ENI | MWH-100 | RF Match | Spares | 1 | as is where is | immediately | ||
110106 | ENI | DOFBC2-078 | Match | SPARES | 1 | inquire | |||
110107 | ENI | Dual Match 2.27Mhz | Match | SPARES | 1 | inquire | |||
110108 | ENI | GHW-25 | Generator | SPARES | 1 | inquire | |||
110109 | ENI | GHW-25 | Generator | SPARES | 1 | inquire | |||
110110 | ENI | Harmonic Filter | Miscellaneous | SPARES | 1 | inquire | |||
110111 | ENI | HPG-2 | Generator | SPARES | 1 | inquire | |||
110112 | ENI | HPG-2 | Generator | SPARES | 1 | inquire | |||
110113 | ENI | LPG-12A | Generator | SPARES | 1 | inquire | |||
110114 | ENI | LPG-12A | Generator | SPARES | 1 | inquire | |||
110115 | ENI | LPG-12A | Generator | SPARES | 1 | inquire | |||
110116 | ENI | LPG-12A | Generator | SPARES | 1 | inquire | |||
110117 | ENI | LPG-12A | Generator | SPARES | 1 | inquire | |||
110118 | ENI | LPG-12A | Generator | SPARES | 1 | inquire | |||
110119 | ENI | LPG-12A | Generator | SPARES | 1 | inquire | |||
110120 | ENI | LPG-24 | Generator | SPARES | 1 | inquire | |||
110121 | ENI | LPG-24 | Generator | SPARES | 1 | inquire | |||
110122 | ENI | LPG-6 | Generator | SPARES | 1 | inquire | |||
110123 | ENI | LPG-6A | Generator | SPARES | 1 | inquire | |||
110124 | ENI | LPG-6A | Generator | SPARES | 1 | inquire | |||
110125 | ENI | MW Controller | Miscellaneous | SPARES | 1 | inquire | |||
110126 | ENI | MW Controller | Miscellaneous | SPARES | 1 | inquire | |||
110127 | ENI | MW Controller | Miscellaneous | SPARES | 1 | inquire | |||
110128 | ENI | MW Controller | Miscellaneous | SPARES | 1 | inquire | |||
110129 | ENI | MW Controller | Miscellaneous | SPARES | 1 | inquire | |||
110130 | ENI | MW Controller | Miscellaneous | SPARES | 1 | inquire | |||
110131 | ENI | MW Controller | Miscellaneous | SPARES | 1 | inquire | |||
110132 | ENI | MW Controller | Miscellaneous | SPARES | 1 | inquire | |||
110133 | ENI | MW Controller | Miscellaneous | SPARES | 1 | inquire | |||
110134 | ENI | MW Controller | Miscellaneous | SPARES | 1 | inquire | |||
110135 | ENI | MW-10 | Match | SPARES | 1 | inquire | |||
110136 | ENI | MW-10 | Match | SPARES | 1 | inquire | |||
110137 | ENI | MW-10 | Match | SPARES | 1 | inquire | |||
110138 | ENI | MW-10 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110139 | ENI | MW-10 Controller | Miscellaneous | SPARES | 1 | inquire | |||
107580 | ENI | OEM-12A XL | RF Generator | Spares | 1 | as is where is | immediately | ||
110140 | ENI | MW-10 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110141 | ENI | MW-10 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110142 | ENI | MW-10 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110143 | ENI | MW-10 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110144 | ENI | MW-10 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110145 | ENI | MW-10 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110146 | ENI | MW-10 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110147 | ENI | MW-10D | Match | SPARES | 1 | inquire | |||
110148 | ENI | MW-10D | Match | SPARES | 1 | inquire | |||
110149 | ENI | MW-10D Controller | Miscellaneous | SPARES | 1 | inquire | |||
110150 | ENI | MW-10D Controller | Miscellaneous | SPARES | 1 | inquire | |||
110151 | ENI | MW-10T | Match | SPARES | 1 | inquire | |||
110152 | ENI | MW-5 | Match | SPARES | 1 | inquire | |||
111432 | ENI | MWH-100 | Match | 1 | as is where is | immediately | |||
110153 | ENI | MW-5 | Match | SPARES | 1 | inquire | |||
111433 | ENI | MWH-100 | Match | 1 | as is where is | immediately | |||
110154 | ENI | MW-5 Controller | Miscellaneous | SPARES | 1 | inquire | |||
111434 | ENI | MWH-100 | Match | 1 | as is where is | immediately | |||
110155 | ENI | MW-5 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110156 | ENI | MW-5 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110157 | ENI | MW-5 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110158 | ENI | MW-5 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110159 | ENI | MW-5 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110160 | ENI | MW-50W | Match | SPARES | 1 | inquire | |||
110161 | ENI | MW-5D | Match | SPARES | 1 | inquire | |||
110162 | ENI | MW-5D | Match | SPARES | 1 | inquire | |||
110163 | ENI | MW-5D | Match | SPARES | 1 | inquire | |||
110164 | ENI | MW-5D | Match | SPARES | 1 | inquire | |||
110165 | ENI | MW-5D | Match | SPARES | 1 | inquire | |||
110166 | ENI | MW-5D | Match | SPARES | 1 | inquire | |||
110167 | ENI | MW-5D | Match | SPARES | 1 | inquire | |||
110168 | ENI | MW-5D | Match | SPARES | 1 | inquire | |||
110169 | ENI | MW-5D | Match | SPARES | 1 | inquire | |||
110170 | ENI | MW-5D | Match | SPARES | 1 | inquire | |||
110171 | ENI | MW-5D | Match | SPARES | 1 | inquire | |||
110172 | ENI | MW-5D Controller | Miscellaneous | SPARES | 1 | inquire | |||
110173 | ENI | MW-5D Controller | Miscellaneous | SPARES | 1 | inquire | |||
110174 | ENI | MW-5D Controller | Miscellaneous | SPARES | 1 | inquire | |||
110175 | ENI | MW-5D Controller | Miscellaneous | SPARES | 1 | inquire | |||
110176 | ENI | MW-5D Controller | Miscellaneous | SPARES | 1 | inquire | |||
110177 | ENI | MW-5D Controller | Miscellaneous | SPARES | 1 | inquire | |||
110178 | ENI | MWD-25 | Match | SPARES | 1 | inquire | |||
110179 | ENI | MWD-25LD | Match | SPARES | 1 | inquire | |||
110180 | ENI | MWD-25LD | Match | SPARES | 1 | inquire | |||
110181 | ENI | MWH-100 | Match | SPARES | 1 | as is where is | immediately | ||
110182 | ENI | MWH-100 | Match | SPARES | 1 | as is where is | |||
110183 | ENI | MWH-100 | Match | SPARES | 1 | inquire | |||
110184 | ENI | MWH-100 | Match | SPARES | 1 | inquire | |||
109417 | ENI | DCG-200Z | RF GENERATOR | Spares | 5 | as is where is | |||
110185 | ENI | MWH-100 | Match | SPARES | 1 | inquire | |||
109418 | ENI | DCG-200Z | RF GENERATOR | Spares | 1 | as is where is | |||
110186 | ENI | MWH-100 | Match | SPARES | 1 | inquire | |||
109419 | ENI | DCG-200Z | RF GENERATOR | Spares | 6 | as is where is | |||
110187 | ENI | MWH-25 | Match | SPARES | 1 | inquire | |||
109420 | ENI | DCG-200Z | RF GENERATOR | Spares | 3 | as is where is | |||
110188 | ENI | MWH-25 | Match | SPARES | 1 | inquire | |||
109421 | ENI | DCG-200Z-OPTIMA | RF GENERATOR | Spares | 1 | as is where is | |||
110189 | ENI | MWH-25 | Match | SPARES | 1 | inquire | |||
109422 | ENI | DCG-200Z-OPTIMA | RF GENERATOR | Spares | 8 | as is where is | |||
110190 | ENI | MWH-5 | Match | SPARES | 1 | inquire | |||
109423 | ENI | DCG-200Z-OPTIMA | RF GENERATOR | Spares | 1 | as is where is | |||
110191 | ENI | MWH-5 Controller | Miscellaneous | SPARES | 1 | inquire | |||
109424 | ENI | DCG-200Z-OPTIMA | RF GENERATOR | Spares | 2 | as is where is | |||
110192 | ENI | MWH-5 Controller | Miscellaneous | SPARES | 1 | inquire | |||
109425 | ENI | DCG-200Z-OPTIMA | RF GENERATOR | Spares | 4 | as is where is | |||
110193 | ENI | MWM-25-02 | Match | SPARES | 1 | inquire | |||
109426 | ENI | DCG-200Z-OPTIMA | RF GENERATOR | Spares | 3 | as is where is | |||
110194 | ENI | MWM-25-02 | Match | SPARES | 1 | inquire | |||
109427 | ENI | DCG-200Z-OPTIMA | RF GENERATOR | Spares | 4 | as is where is | |||
110195 | ENI | MWM-25-02 | Match | SPARES | 1 | inquire | |||
109428 | ENI | DCG-200Z-S00 | RF GENERATOR | Spares | 1 | as is where is | |||
110196 | ENI | OEM-10B | Generator | SPARES | 1 | inquire | |||
112756 | ENI | LPG12A-21051-50 | RF Generator 1200W | SPARES | 4 | as is where is | |||
109429 | ENI | DOFBC2-078 | RF GENERATOR | Spares | 1 | as is where is | |||
110197 | ENI | OEM-12 | Generator | SPARES | 1 | inquire | |||
112757 | ENI | OEM 12A | RF Generator 1250 W 13.56 MHZ | SPARES | 3 | as is where is | |||
109430 | ENI | MWH-100 | RF GENERATOR | Spares | 1 | as is where is | |||
110198 | ENI | OEM-12 | Generator | SPARES | 1 | inquire | |||
112758 | ENI | OEM 12B | RF Generator 1250 W 13.56 MHZ | SPARES | 1 | as is where is | |||
109431 | ENI | MWH-100 | RF GENERATOR | Spares | 1 | as is where is | |||
110199 | ENI | OEM-12 | Generator | SPARES | 1 | inquire | |||
112759 | ENI | OEM-6AM-1B-21251 | RF Generator 750W 13.56 MHZ | SPARES | 3 | as is where is | |||
109432 | ENI | OEM-12B | RF GENERATOR | Spares | 3 | as is where is | immediately | ||
110200 | ENI | OEM-12 | Generator | SPARES | 1 | inquire | |||
112760 | ENI | PL3 | RF Generator 3200W | SPARES | 1 | as is where is | |||
109433 | ENI | SPECTRUM 11002-00 | RF GENERATOR | Spares | 1 | as is where is | |||
110201 | ENI | OEM-1250 | Generator | SPARES | 1 | inquire | |||
107642 | ENI | POD Interface Box | Miscellaneous | Spares | 1 | as is where is | immediately | ||
110202 | ENI | OEM-1250 | Generator | SPARES | 1 | inquire | |||
110203 | ENI | OEM-1250 | Generator | SPARES | 1 | inquire | |||
110204 | ENI | OEM-1250 | Generator | SPARES | 1 | inquire | |||
110205 | ENI | OEM-1250 | Generator | SPARES | 1 | inquire | |||
110206 | ENI | OEM-1250 | Generator | SPARES | 1 | inquire | |||
107647 | ENI | RFC-5MW | Miscellaneous | Spares | 1 | as is where is | immediately | ||
110207 | ENI | OEM-12A | Generator | SPARES | 1 | inquire | |||
110208 | ENI | OEM-12A | Generator | SPARES | 1 | inquire | |||
110209 | ENI | OEM-12A | Generator | SPARES | 1 | inquire | |||
110210 | ENI | OEM-12A | Generator | SPARES | 1 | inquire | |||
110211 | ENI | OEM-12A | Generator | SPARES | 1 | inquire | |||
110212 | ENI | OEM-12A | Generator | SPARES | 1 | inquire | |||
110213 | ENI | OEM-12A | Generator | SPARES | 1 | inquire | |||
110214 | ENI | OEM-12A | Generator | SPARES | 1 | inquire | |||
110215 | ENI | OEM-12A | Generator | SPARES | 1 | inquire | |||
110216 | ENI | OEM-12A | Generator | SPARES | 1 | inquire | |||
110217 | ENI | OEM-12A | Generator | SPARES | 1 | inquire | |||
110218 | ENI | OEM-12A XL | Generator | SPARES | 1 | inquire | |||
110219 | ENI | OEM-12A XL | Generator | SPARES | 1 | inquire | |||
110220 | ENI | OEM-2000 | Generator | SPARES | 1 | inquire | |||
110221 | ENI | OEM-6 | Generator | SPARES | 1 | inquire | |||
110222 | ENI | OEM-6 | Generator | SPARES | 1 | inquire | |||
110223 | ENI | OEM-6 | Generator | SPARES | 1 | inquire | |||
110224 | ENI | OEM-6 | Generator | SPARES | 1 | inquire | |||
110225 | ENI | OEM-6 | Generator | SPARES | 1 | inquire | |||
110226 | ENI | OEM-6 | Generator | SPARES | 1 | inquire | |||
110227 | ENI | OEM-6 | Generator | SPARES | 1 | inquire | |||
110228 | ENI | OEM-6 | Generator | SPARES | 1 | inquire | |||
110229 | ENI | OEM-6 | Generator | SPARES | 1 | inquire | |||
110230 | ENI | OEM-6 | Generator | SPARES | 1 | inquire | |||
110231 | ENI | OEM-6 | Generator | SPARES | 1 | inquire | |||
110232 | ENI | OEM-6 | Generator | SPARES | 1 | inquire | |||
110233 | ENI | OEM-6 | Generator | SPARES | 1 | inquire | |||
110234 | ENI | OEM-650A | Generator | SPARES | 1 | inquire | |||
110235 | ENI | OEM-650A | Generator | SPARES | 1 | inquire | |||
110236 | ENI | OEM-650A | Generator | SPARES | 1 | inquire | |||
110237 | ENI | OEM-650A | Generator | SPARES | 1 | inquire | |||
110238 | ENI | OEM-650A | Generator | SPARES | 1 | inquire | |||
110239 | ENI | OEM-650A | Generator | SPARES | 1 | inquire | |||
110240 | ENI | OEM-650A | Generator | SPARES | 1 | inquire | |||
110241 | ENI | OEM-650A | Generator | SPARES | 1 | inquire | |||
110242 | ENI | OEM-650A | Generator | SPARES | 1 | inquire | |||
110243 | ENI | OEM-650A | Generator | SPARES | 1 | inquire | |||
110244 | ENI | OEM-650A | Generator | SPARES | 1 | inquire | |||
110245 | ENI | OEM-650A | Generator | SPARES | 1 | inquire | |||
110246 | ENI | OEM-650A | Generator | SPARES | 1 | inquire | |||
110247 | ENI | OEM-650A | Generator | SPARES | 1 | inquire | |||
110248 | ENI | OEM-650A | Generator | SPARES | 1 | inquire | |||
83625 | ENI | HF-3000-50 | HARMONIC FILTER ASSY | 28.02.1994 | 1 | as is where is | immediately | ||
110249 | ENI | OEM-650A | Generator | SPARES | 1 | inquire | |||
110250 | ENI | OEM-650A XL | Generator | SPARES | 1 | inquire | |||
110251 | ENI | OEM-650A XL | Generator | SPARES | 1 | inquire | |||
110252 | ENI | OEM-650A XL | Generator | SPARES | 1 | inquire | |||
110253 | ENI | OEM-650A XL | Generator | SPARES | 1 | inquire | |||
110254 | ENI | OEM-650A XL | Generator | SPARES | 1 | inquire | |||
110255 | ENI | OEM-650A XL | Generator | SPARES | 1 | inquire | |||
110256 | ENI | OEM-6B | Generator | SPARES | 1 | inquire | |||
110257 | ENI | OEM-6B | Generator | SPARES | 1 | inquire | |||
110258 | ENI | OEM-6L | Generator | SPARES | 1 | inquire | |||
110259 | ENI | OEM-6M | Generator | SPARES | 1 | inquire | |||
110260 | ENI | PL2-HF | Generator | SPARES | 1 | inquire | |||
110261 | ENI | PL2-HF | Generator | SPARES | 1 | inquire | |||
110262 | ENI | PL2-HF | Generator | SPARES | 1 | inquire | |||
110263 | ENI | PL2-HF | Generator | SPARES | 1 | inquire | |||
110264 | ENI | PL2-HF | Generator | SPARES | 1 | inquire | |||
110265 | ENI | PL2-HF | Generator | SPARES | 1 | inquire | |||
110266 | ENI | POD Interface Box | Miscellaneous | SPARES | 1 | inquire | |||
110267 | ENI | POD Interface Box | Miscellaneous | SPARES | 1 | inquire | |||
110268 | ENI | POD Interface Box | Miscellaneous | SPARES | 1 | inquire | |||
110269 | ENI | POD Interface Box | Miscellaneous | SPARES | 1 | inquire | |||
110270 | ENI | POD Interface Box | Miscellaneous | SPARES | 1 | inquire | |||
106175 | ENI | OEM-2000-01M1 | RF GENERATOR | SPARES | 1 | as is where is | immediately | ||
110271 | ENI | POD Interface Box | Miscellaneous | SPARES | 1 | inquire | |||
110272 | ENI | POD Interface Box | Miscellaneous | SPARES | 1 | inquire | |||
110273 | ENI | POD Interface Box | Miscellaneous | SPARES | 1 | inquire | |||
110274 | ENI | POD Interface Box | Miscellaneous | SPARES | 1 | inquire | |||
110275 | ENI | POD Interface Box | Miscellaneous | SPARES | 1 | inquire | |||
110276 | ENI | POD Interface Box | Miscellaneous | SPARES | 1 | inquire | |||
111556 | ENI | OEM-6AM | RF GENERATOR | SPARES | 2 | as is where is | |||
110277 | ENI | POD Interface Box | Miscellaneous | SPARES | 1 | inquire | |||
110278 | ENI | POD Interface Box | Miscellaneous | SPARES | 1 | inquire | |||
110279 | ENI | POD Interface Box | Miscellaneous | SPARES | 1 | inquire | |||
110280 | ENI | RFC4T | Match | SPARES | 1 | inquire | |||
110281 | ENI | RFC-5 Controller | Miscellaneous | SPARES | 1 | inquire | |||
107466 | ENI | ACG-3B | RF Generator | Spares | 1 | as is where is | immediately | ||
110282 | ENI | RFC-5MW | Miscellaneous | SPARES | 1 | inquire | |||
110283 | ENI | RFC-5MW | Miscellaneous | SPARES | 1 | inquire | |||
110284 | ENI | RFC-5MW | Miscellaneous | SPARES | 1 | inquire | |||
110285 | ENI | RFC-5MW | Miscellaneous | SPARES | 1 | inquire | |||
110286 | ENI | RFC-5MW | Miscellaneous | SPARES | 1 | inquire | |||
110287 | ENI | RFC-5MW | Miscellaneous | SPARES | 1 | inquire | |||
110288 | ENI | RFC-6 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110289 | ENI | RFC-6 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110290 | ENI | RFC-6 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110291 | ENI | RFC-6 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110292 | ENI | RFC-6 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110293 | ENI | RFC-6 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110294 | ENI | RFC-6 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110295 | ENI | RFC-6 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110296 | ENI | Spectrum 5K 2mhz | Generator | SPARES | 1 | inquire | |||
110297 | ENI | UTF-10 | Miscellaneous | SPARES | 1 | inquire | |||
110298 | ENI | VL-400 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110299 | ENI | VL-400 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110300 | ENI | VL-400 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110301 | ENI | VL-400 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110302 | ENI | VL-400 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110303 | ENI | VL-400 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110304 | ENI | VL-400 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110305 | ENI | VL-400 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110306 | ENI | VL-400 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110307 | ENI | Voltage Probe | Miscellaneous | SPARES | 1 | inquire | |||
110308 | ENI | Voltage Probe | Miscellaneous | SPARES | 1 | inquire | |||
110309 | ENI | Voltage Probe | Miscellaneous | SPARES | 1 | inquire | |||
80368 | ENI | OEM-6J | RF GENERATOR | SPARES | 31.05.1995 | 1 | as is where is | immediately | |
110075 | ENI | ACG-10 | Generator | SPARES | 1 | inquire | |||
110076 | ENI | ACG-10 | Generator | SPARES | 1 | inquire | |||
110077 | ENI | ACG-10 | Generator | SPARES | 1 | inquire | |||
110078 | ENI | ACG-10B | Generator | SPARES | 1 | inquire | |||
110079 | ENI | ACG-10B | Generator | SPARES | 1 | inquire | |||
77091 | Enidine | MB21539 | Shock Absorber | Spares | 1 | as is where is | immediately | ||
112177 | ENTEGRIS | Ultra 6221 | BOX WASHER | 300 mm | 01.06.2005 | 1 | as is where is | ||
83513 | Entegris | RSPX-EUV-036 | Reticle Direct Purge Cabinet | Facilities | 01.07.2010 | 1 | as is where is | immediately | |
108957 | Entegris | Box 2" | Wafer Transportation Box 2" | SPARES 50 MM | 330 | as is where is | immediately | ||
108958 | Entegris | A72-40MB-0215 | Teflon Carrier 4" | SPARES 100 MM | 57 | as is where is | immediately | ||
108959 | Entegris | PH9100 | Wafer Transportation Box 4" | SPARES 100 MM | 29 | as is where is | immediately | ||
114099 | Entegris | Ultra 6210V | Carrier Cleaner | 300 mm | 1 | as is where is | |||
114100 | Entegris | Ultra 6210V | Carrier Cleaner | 300 mm | 1 | as is where is | |||
106467 | EO Technic | CSM-2000 | CHIP SCALE LASER MARKER | 150 mm/200 mm | 01.06.2002 | 1 | as is where is | immediately | |
108064 | EO Technics | EO 9702 | Laser marking | 1 | as is where is | ||||
106301 | EO TECHNICS | PEM402 | Automatic Laser Marking System | Assembly | 01.06.2012 | 1 | as is where is | immediately | |
108641 | EO TECHNICS | CSM 2000 | Chip scale laser wafer marker | 150 mm/200 mm | 01.06.2006 | 1 | as is where is | immediately | |
114529 | EO TECHNICS | BM2424 | LASER MARKING | Assembly | 1 | as is where is | |||
114530 | EO TECHNICS | SCF-200H | LASER MARKING | Assembly | 1 | as is where is | |||
98462 | EO TECHNICS | CSM 3000 | Chip Scale laser marker | 200 mm/300 mm | 01.06.2005 | 1 | as is where is | immediately | |
106469 | EO Technics | CSM2000 | CHIP SCALE LASER MARKER | 150 mm/200 mm | 1 | as is where is | immediately | ||
106470 | EO TECHNICS | CSM2000 | CHIP SCALE LASER MARKER | 200 mm | 01.06.2005 | 1 | as is where is | immediately | |
112761 | Equipe Technologies | ATM105-1-S-CE | Wafer Transfer Robot & Aligner | SPARES | 1 | as is where is | |||
84090 | ERACOND | X3 | MINIATURE AIR CYLINDER | 1 | as is where is | immediately | |||
84080 | ERACOND Z3 | M20 PD 50 | MINIATUR AIR CYLINDER | 1 | as is where is | immediately | |||
82286 | ESA | ET5200LX2000 | Touch Screen Computer | spares | 01.10.2007 | 1 | as is where is | immediately | |
108815 | ESEC | CT-2000 | Automatic Flip Chip Die Attacher, 3ea Available | ASSEMBLY | 1 | inquire | |||
111444 | ESEC | 2005HR | Soft Solder Die Bonder | Assembly | 1 | as is where is | immediately | ||
108749 | ESEC | BU-050-N | Parts Unloader for Micron 2 Automatic Die Attacher | 1 | inquire | ||||
108750 | ESEC | BL-050-N | Parts Loader for Micron 2 Automatic Die Attacher | 1 | inquire | ||||
83739 | ESI | 44 | COMPLETE SET OF LASER TRIMMER SPARE PCBS AND PARTS | SPARES | 27 | as is where is | immediately | ||
94494 | ESI | M9825 | Laser Fuser | 300 mm | 01.06.2003 | 5 | as is where is | immediately | |
83796 | ESI | 29286 | ESI pcb Servo Preamp | Spares | 1 | as is where is | immediately | ||
83797 | ESI | 29282 | ESI pcb Transducer Preamp | Spares | 1 | as is where is | immediately | ||
83798 | ESI | 43175 | 4 phase encoder logic assy | Spares | 1 | as is where is | immediately | ||
83799 | ESI | 929284 | MAX Velocity control board | Spares | 1 | as is where is | immediately | ||
83801 | ESI | 40898 | ESI pcb Interrupt control pcb | Spares | 1 | as is where is | immediately | ||
83802 | ESI | 42328 | ESI pcb Precharged Servo Preamp | Spares | 1 | as is where is | immediately | ||
83803 | ESI | 29278 | ESI pcb Power Amplifier driver for ESI 44 fuser | Spares | 1 | as is where is | immediately | ||
83804 | ESI | 29800 | Driver power supply | 1 | as is where is | immediately | |||
83813 | ESI | 41751 | Transducer Buffer PCB for ESI model 44 laser fuser | Spares | 2 | as is where is | immediately | ||
83814 | ESI | 42251 | Approach Control PCB for ESI 44 | Spares | 1 | as is where is | immediately | ||
83815 | ESI | 29292 | ESI pcb Position Encoder Logic for ESI 44 fuser | Spares | 1 | as is where is | immediately | ||
83816 | ESI | 42119 | ESI pcb 4 Phase Control Module | 1 | as is where is | immediately | |||
83817 | ESI | 42253 | ESI pcb Power Amplifier driver for ESI 44 fuser | Spares | 1 | as is where is | immediately | ||
83818 | ESI | 42252 | ESI pcb Acceleration Control Assy for ESI 44 fuser | Spares | 1 | as is where is | immediately | ||
83820 | ESI | 24971 | Manual Functions PC Board FOR ESI MODEL 44 LASER TRIMMER | Spares | 1 | as is where is | immediately | ||
83822 | ESI | 41207 | IEEE 488 Interface FOR ESI 44 LASER TRIMMER | SPARES | 1 | as is where is | immediately | ||
83857 | ESI | 48503 | Linear motor/Laser Control PC Board FROM ESI MODEL 44 TRIMMER | Spares | 2 | as is where is | immediately | ||
83858 | ESI | 24961 | Extender Board PC Board w/ cables FOR ESI 44 LASER TRIMMER | Spares | 2 | as is where is | immediately | ||
83859 | ESI | 42356 | S&R Interface PC Board FOR ESI MODEL 44 LASER TRIMMER | Spares | 1 | as is where is | immediately | ||
83860 | ESI | 24955 | Scanner Module PC Board for ESI 44 fuser | spares | 3 | as is where is | immediately | ||
113058 | ESI | M9825 | Laser Repair | 200 mm | 1 | as is where is | |||
110755 | ESI | HD9830 | Laser Fuser | 3 | as is where is | immediately | |||
113059 | ESI | M9825 | Laser Repair | 200 mm | 01.06.2003 | 1 | as is where is | ||
110758 | ESI | 9350 | Laser Repair System | 200 mm | 01.06.2005 | 1 | as is where is | immediately | |
83936 | ESI | 41506 | Bridge Calibrator PCB for ESI 44 LASER TRIMMER | Spares | 1 | as is where is | immediately | ||
84210 | ESI | Power Assy | Power supply assy, ESI 44/4400 | 1 | as is where is | immediately | |||
106899 | ESI ELECTRO SCIENTIFIC INDUSTRIES | 146900-01 REV A | Lower Pneumatic Control Box for ESI 9830 | spares | 01.09.2007 | 1 | as is where is | 2 weeks | |
110756 | ESI ELECTRO SCIENTIFIC INDUSTRIES | 9825 | Laser Repair System | 300 mm and 200 mm | 01.10.2003 | 10 | as is where is | immediately | |
108065 | ESPEC | STPH-101 | OVEN | OVEN | 1 | as is where is | |||
108066 | ESPEC | TSB 51 | Shock Chamber | RELIABILITY | 1 | as is where is | |||
111468 | ESPEC | PR-3KP | Temperature & Humidity Chamber | 01.06.2006 | 1 | as is where is | |||
112762 | Espec | EPL-3H | Temperature and humidity chamber | 1 | as is where is | ||||
112178 | EUGENE TECH | BJM3000 | CVD SINGLE HTO | 300 mm | 1 | as is where is | |||
79520 | Eurotherm | 2408f | Temperature controller | 01.01.2001 | 3 | as is where is | immediately | ||
112179 | EV GROUP | 6200NT | Mask Alignment System with back side alignment | - | 1 | as is where is | |||
114303 | EVATEC | BAK 1400 | Vacuum Evaporator | 150~300 mm | 01.06.2019 | 1 | as is where is | ||
113328 | Evatec | Solaris S151 | Multi-chamber sputtering system for Ag, Al, W, TCO ITO and AZO | 156 mm square (200 mm) | 01.06.2015 | 1 | as is where is | immediately | |
109581 | EVG | 101 | Photoresist coater and developer | 200 mm | 01.05.2008 | 1 | as is where is | immediately | |
108816 | EVG | Smart View | Wafer Aligner - Parts Only | 1 | as is where is | ||||
109596 | EVG | 101 | Photoresist Spray Coater | 150 mm | 1 | inquire | |||
113957 | EVG | 501 | Wafer Bonder | 150 mm | 1 | inquire | |||
113958 | EVG | 620 | Mask Aligner (Upgraded from 420) (TSA & BSA) | 150 mm | 1 | inquire | |||
109611 | EVG | 520 | Wafer Bonder | 150 mm | 1 | inquire | |||
111732 | EVG | 850DB | Automated Debonding System | 200mm | 1 | as is where is | |||
112763 | EVG | EV640 | Wafer to Wafer Bond Aligner | 1 | as is where is | ||||
112764 | EVG | Smartview Bond Aligner | 300mm Wafer to Wafer Bond Aligner | 300 mm | 1 | as is where is | |||
110746 | EVG | Gemini | Wafer Bonding system - permanent bonding system | 150 mm | 01.06.2015 | 5 | as is where is | immediately | |
114101 | EVG | 150 | Coat and Develop Track | 150 mm | 1 | as is where is | |||
101819 | EVG | 820 | Mold Carrier Lamination System | 200 mm | 1 | as is where is | immediately | ||
111585 | EVG | Hercules NIL 200 | Nanoimprint Lithography System | up to 200 mm | 01.06.2016 | 1 | as is where is | immediately | |
103140 | EVG | 520 | Manual Wafer Load Substrate Bonder | 150 mm | 01.05.2003 | 1 | as is where is | 2 months | |
83515 | Extraction Systems | TMB 150 | Photoresist Contamination Monitor System / Total Amine Analyzer | Facilities | 31.05.2004 | 1 | as is where is | immediately | |
112765 | F&K Delvotec | 5432 | Automatic Wedge Bonder | ASSEMBLY | 1 | as is where is | |||
108748 | FAITH TECHNOLOGY | RapiTran 2 | Wafer Transfer Station | 150 mm | 1 | as is where is | immediately | ||
111383 | FEI | Quanta 200 3D | Dual-Beam FIB SEM with Omniprobe, LMIS, BSD | Laboratory | 01.06.2005 | 1 | as is where is | immediately | |
112180 | FEI | DB835 | Dual beam Fib SEM | 300 mm | 1 | as is where is | |||
112181 | FEI | Tecnai G2 F30 | Metrology TEM electron microscope | 300 mm | 1 | as is where is | immediately | ||
113288 | FEI | Quanta 200 | Scanning Electron Microscope | Laboratory | 1 | inquire | immediately | ||
113295 | FEI | Altura 855 | Dual beam FIB SEM | 200 mm | 1 | as is where is | immediately | ||
114102 | FEI | Helios NanoLab 400 | Focused Ion Beam (FIB) | 300 mm | 1 | as is where is | |||
110602 | FEI Company | XL820 | Dualbeam FIB | 16.06.2001 | 1 | as is where is | |||
106009 | FEI Company | FIB 200 | Single Beam FIB | Laboratory | 01.06.2003 | 1 | as is where is | immediately | |
110704 | FEI Company | 820 | Dual beam FIB SEM | Laboratory | 1 | as is where is | immediately | ||
110310 | Fenwal | Sealer | Sealing | SPARES | 1 | inquire | |||
110311 | Fenwal | Sealer | Sealing | SPARES | 1 | inquire | |||
110312 | Fenwal | Sealer | Sealing | SPARES | 1 | inquire | |||
110313 | Fenwal | Sealer | Sealing | SPARES | 1 | inquire | |||
80169 | Festo | 150857 SME-8-S-LED-24 | Proximity switch | 01.12.2012 | 1 | as is where is | immediately | ||
108067 | FICO | SM | Injection mold press | ASSEMBLY | 2 | as is where is | |||
111439 | Fico | Compact Line | Trim and Form System | Assembly | 01.06.2011 | 1 | as is where is | immediately | |
111440 | Fico | MMS-i | Mold Press | Assembly | 01.06.2004 | 1 | as is all rebuilt | immediately | |
106894 | FINETECH GmbH | Fineplacer 96 | Manual FlipChip Bonder | 1 | as is where is | immediately | |||
108710 | Fogale | DeepProbe 300M | Low Coherence IR wafer interferometry | 200 mm and 300 mm | 01.06.2014 | 1 | inquire | ||
108817 | FOUR DIMENSIONS | CV92A | Semi Auomatic Mercury Probe CV Plotter | up to 200 mm | 01.06.1998 | 1 | inquire | immediately | |
114304 | Four Dimensions | 280 Four Point Prober | Wafer Resistivity Mapper | N/A | 1 | as is where is | |||
110723 | Four Dimensions | CVMAP 3092-A | Wafer CV mapper - Mercury Probe | 100-200 MM | 01.06.2005 | 1 | as is where is | immediately | |
86277 | FSI | Polaris 3500 (Spares) | staubli rx 90 robot control unit 308998-001 RX 90 CONTR.1 | spares | 01.09.2000 | 1 | as is where is | immediately | |
113937 | FSI | Mercury MP | WET ETCH Chemical Clean | 150 mm | 01.06.2000 | 1 | as is where is | ||
113938 | FSI | Mercury MP | WET ETCH Chemical Clean | 150 mm | 01.06.1996 | 1 | as is where is | ||
113939 | FSI | Mercury MP | WET ETCH Chemical Clean | 150 mm | 01.06.1997 | 1 | as is where is | ||
113940 | FSI | Mercury MP | WET ETCH Chemical Clean | 150 mm | 01.06.1995 | 1 | as is where is | ||
110622 | FSI | ExcaliburISR | HF Vapor Cleaning | 200 mm | 1 | as is where is | |||
106015 | FSI | Polaris 3500 (Spares) | POWER SUPPLY, CONVERSION EQUIPMENT CORP. M/N 05D-0189-01 | Spares | 1 | as is where is | immediately | ||
112182 | FSI | Excalibur ISR | WET CLN | 200 mm | 01.06.1994 | 1 | as is where is | ||
83516 | FSI | Polaris 3500 (Spares) | Spares for DUV photoresist coater / developer track | spares | 01.05.2000 | 1 | as is where is | immediately | |
95574 | FSI | Polaris 3500 (Spares) 294157-200 REV C | PCB, MODULE DRIP AND GUARD INPUTS | Spares | 1 | as is where is | immediately | ||
95575 | FSI | Polaris 3500 (Spares) IKEGAMI PM-930A | PICURE MONITOR | Spares | 1 | as is where is | immediately | ||
95576 | FSI | Polaris 3500 (Spares) VT106 | 10 INCH VGA MONOCHROME MONITOR | Spares | 2 | as is where is | immediately | ||
95577 | FSI | Polaris 3500 (Spares) IBM 8228 P/N 6091014 | Multistation Access Unit | Spares | 2 | as is where is | immediately | ||
95578 | FSI | Polaris 3500 (Spares) Proteon P7228 rev h | PROTEON PRONET P7228 8 PORT | Spares | 2 | as is where is | immediately | ||
95579 | FSI | Polaris 3500 (Spares) Patlite SL-V | Signal Tower, 2 color, Amber, Red | Spares | 2 | as is where is | immediately | ||
95580 | FSI | Polaris 3500 (Spares) | Power box for 200 mm note track | Spares | 1 | as is where is | immediately | ||
95581 | FSI | Polaris 3500 (Spares) | PLAST-O-MATIC PRD075B-PP VALVE | Spares | 2 | as is where is | immediately | ||
95582 | FSI | Polaris 3500 (Spares) | PCB, FSI A/N 294084-200C | Spares | 1 | as is where is | immediately | ||
95583 | FSI | Polaris 3500 (Spares) | DIGI ACCELPORT PCB 55000534 REV F | Spares | 1 | as is where is | immediately | ||
113759 | FSI | POLARIS 1000 | I-line Track | 150 mm | 01.06.1994 | 1 | as is where is | ||
95584 | FSI | Polaris 3500 (Spares) | PCB, FSI 200-0097 REV B MVS 8100 FRAME GRABBER | Spares | 1 | as is where is | immediately | ||
113760 | FSI | POLARIS 1000 | I-line Track | 150 mm | 01.06.1994 | 1 | as is where is | ||
95585 | FSI | Polaris 3500 (Spares) | PCB, FSI 61-0061-10 EXP-BP5 | Spares | 1 | as is where is | immediately | ||
113761 | FSI | POLARIS 2000 | I-line Track | 150 mm | 01.06.1996 | 1 | as is where is | ||
95586 | FSI | Polaris 3500 (Spares) | PCB, FSI 33000-472, VME MONOLITHIC 12 SLOT | Spares | 1 | as is where is | immediately | ||
113762 | FSI | POLARIS 2000 | I-line Track | 150 mm | 01.06.1995 | 1 | as is where is | ||
95587 | FSI | Polaris 3500 (Spares) | PCB, FSI 10444-01AA | Spares | 31.05.1999 | 1 | as is where is | immediately | |
113763 | FSI | POLARIS 2000 | I-line Track | 150 mm | 01.06.1995 | 1 | as is where is | ||
95588 | FSI | Polaris 3500 (Spares) | PCB, FSI SP 586T (00-065-0015 REV D) SINGLE BOARD COMPUTER | Spares | 01.05.1999 | 1 | as is where is | immediately | |
113764 | FSI | POLARIS 2000 | I-line Track | 150 mm | 01.06.1996 | 1 | as is where is | ||
113765 | FSI | POLARIS 2000 | I-line Track | 150 mm | 01.06.1996 | 1 | as is where is | ||
113766 | FSI | POLARIS 2000 | I-line Track | 150 mm | 01.06.1996 | 1 | as is where is | ||
95591 | FSI | Polaris 3500 (Spares) | Power Supply, Densei-Lambda Alpha 250S | Spares | 31.05.1999 | 1 | as is where is | immediately | |
113767 | FSI | POLARIS 2000 | I-line Track | 150 mm | 01.06.1996 | 1 | as is where is | ||
95592 | FSI | Polaris 3500 (Spares) | GAS SENSOR, FSI P/N 841-004-i | Spares | 1 | as is where is | immediately | ||
113768 | FSI | POLARIS 2000 | I-line Track | 150 mm | 01.06.2002 | 1 | as is where is | ||
95593 | FSI | Polaris 3500 (Spares) | ATEN CS-122 CPU SWITCH FOR PS/2 PC/AT | Spares | 1 | as is where is | immediately | ||
113769 | FSI | POLARIS 2100 | I-line Track | 150 mm | 01.06.1998 | 1 | as is where is | ||
113770 | FSI | POLARIS 2100 | I-line Track | 150 mm | 01.06.2000 | 1 | as is where is | ||
95595 | FSI | Polaris 3500 (Spares) | PLATE ASSEMBLY | Spares | 31.08.1995 | 1 | as is where is | immediately | |
113771 | FSI | POLARIS 2500 | I-line Track | 150 mm | 01.06.1996 | 1 | as is where is | ||
95596 | FSI | Polaris 3500 (Spares) | PLASTIC COVER | Spares | 1 | as is where is | immediately | ||
113772 | FSI | POLARIS 2500 | I-line Track | 150 mm | 01.06.2006 | 1 | as is where is | ||
113773 | FSI | POLARIS 2500 | I-line Track | 150 mm | 01.06.2005 | 1 | as is where is | ||
95602 | FSI | Polaris 3500 (Spares) | FSI P/N 05D-0167-03A1 POWER SUPPLY, DC BATTERY BACKUP 05D016703A1 | Spares | 1 | as is where is | immediately | ||
95603 | FSI | Polaris 3500 (Spares) | FSI P/N 917410-002C POWER BOX WITH BOARD CT 294159-200C | Spares | 31.01.2000 | 1 | as is where is | immediately | |
95604 | FSI | Polaris 3500 (Spares) | FSI P/N 917803-001B DWR-DSP CABLE, 25 CM | Spares | 1 | as is where is | immediately | ||
95605 | FSI | Polaris 3500 (Spares) | FSI P/N IB1-7000T 2 FEET CABLE 0795 | Spares | 1 | as is where is | immediately | ||
95606 | FSI | Polaris 3500 (Spares) | FSI P/N IB1-7000T , CABLE 2 FEET 0795 | Spares | 30.06.1995 | 1 | as is where is | immediately | |
95607 | FSI | Polaris 3500 (Spares) | FSI P/N POWER CABLE, LENGTH 135 CM | Spares | 1 | as is where is | immediately | ||
95608 | FSI | Polaris 3500 (Spares) | FSI P/N 8454-AWM-4097-MT BELDEN CABLE, LENGHT 34 CM | Spares | 1 | as is where is | immediately | ||
106873 | FSI | Zeta 300 G3 | Wafer Acid Spray Cleaner, Hot SPM Process | 300 mm | 01.01.2012 | 1 | as is where is | immediately | |
109177 | FSI | Zeta 300 G3 | Batch Wafer Processing | 300mm | 1 | as is where is | |||
105877 | FSI | Mercury (Spare Parts) | Brand New Chemical Canister, HF Coded | Spares | 1 | as is where is | immediately | ||
105880 | FSI | Mercury (Spare Parts) | Used Chemical Canister, HCl Coded | Spares | 1 | as is where is | immediately | ||
18849 | FSI | 906498-100 | FSI CHEM FILL Dec scotland system manual and print | 1 | as is where is | ||||
18850 | FSI | 905403-001 | Print and Product Structures (Poly) Mercury MP | 1 | as is where is | ||||
18851 | FSI | 907575-100 | Mercury MP spray processing system operation and maintenance manual | manual | 01.05.1994 | 1 | as is where is | immediately | |
18852 | FSI | 501666-000 | Operations and maintenance Excalibur ISR | 2 | as is where is | ||||
18853 | FSI | 500539-001 | Saturn MP comprehensive technical package | 2 | as is where is | ||||
18854 | FSI | 906496-100 | Bit bus node installation system requirement and guidelines | 1 | as is where is | ||||
18855 | FSI | 906487 | Chemblend 350 operation and maintenance manual | 2 | as is where is | ||||
18856 | FSI | 905521 | Model 1000 CDM operations and maintenance manual | 1 | as is where is | ||||
18857 | FSI | 501442-058 | Booster pump operations and maintenance | 1 | as is where is | ||||
18858 | FSI | 504099-001 | Motorola mos 16 PLC expansion project | 2 | as is where is | ||||
18859 | FSI | 912631 | Excalibur ISR and EOS systems operations and maintenance manual | 2 | as is where is | ||||
18860 | FSI | 903943-200 | Heated recirculations operations and maintenance manual | 1 | as is where is | ||||
27820 | FSI | Excalibur ISR & EOS | Manual | 1 | |||||
18861 | FSI | 906488-100 | Model 1000 CDM operations and maintenance manual | 1 | as is where is | ||||
27821 | FSI | Proteus 11 | Manual | 1 | |||||
108717 | FSI | Polaris 3500 | Mini Photolithography Track without coaters and developers | 300 MM / 200 mm | 01.06.2004 | 1 | as is where is | immediately | |
18862 | FSI | 916197-100 | Model 1000 CDM ( ce-marked with PPS ) operations and maintenance manual | 1 | as is where is | ||||
27822 | FSI | Chemfill systems | Manual | manual | 1 | ||||
18863 | FSI | 903893-200 | Helios 52 di water meter operation and maintenance manual | 2 | as is where is | ||||
27823 | FSI | Excalibur | Manual | 1 | |||||
27824 | FSI | SATURN & TITAN | Manuals | 1 | |||||
27825 | FSI | Mercury | Manual | 1 | |||||
27826 | FSI | Mercury MP | Operation and Maintenance Manual | Manual | 1 | as is where is | immediately | ||
27827 | FSI | Excalibur ISR | Manual | 2 | |||||
27828 | FSI | 912629 | Manual | 1 | |||||
27829 | FSI | 904602-200 | Manual | 1 | |||||
27830 | FSI | 906496-100 | Manual | 1 | |||||
114103 | FSI | Polaris 2000 | Cluster Tool Tracks (Resist Coater/Developer) | 150 mm | 1 | as is where is | |||
114104 | FSI | Polaris 2000 | Cluster Tool Tracks (Resist Coater/Developer) | 150 mm | 1 | as is where is | |||
114105 | FSI | Polaris 2000 | Cluster Tool Tracks (Resist Coater/Developer) | 150 mm | 1 | as is where is | |||
27835 | FSI | Excalibur | Manual 905364-200 | 1 | |||||
27836 | FSI | Excalibur ISR | Manual Prints & Product Structures | 1 | |||||
27837 | FSI | Booster Pump | Manual | 1 | |||||
27838 | FSI | Saturn MP | Manual 500539-001 | 1 | |||||
27839 | FSI | Saturn MP | Manual 500539-004 | 3 | |||||
27840 | FSI | Booster Pump | Manual | 1 | as is where is | immediately | |||
27841 | FSI | Proteus 1 | Manual | 1 | |||||
27842 | FSI | Saturn OC | Manuals | 2 | |||||
27871 | FSI | ISR Maual | In Situ Rinse Prints & Parts Structures Manual | 1 | |||||
27872 | FSI | Excalibur | Vapour Phase Processing system Supplementary training manual | 1 | |||||
27873 | FSI | PLC Expansion | PLC expansion Project Manual | 1 | |||||
27874 | FSI | 907051-100 | Excalibur ISR Operation and Maintenance Manual | 1 | |||||
27875 | FSI | HELIOS 52 | Prints & Products structures Manual | Manual | 1 | as is where is | immediately | ||
27876 | FSI | Excalibur 200mm | Supplier Manual | 2 | |||||
27877 | FSI | 500539-004 | Parts & Structures Manual | 1 | |||||
27878 | FSI | Saturn | Manual General | 1 | |||||
27879 | FSI | Mercury MP | Spray Processing system Operation & Maintenance Manual | Manual | 1 | as is where is | immediately | ||
27880 | FSI | Chemfill systems | Model 1000 CDM/ PPS Manual Rev D | manual | 2 | as is where is | immediately | ||
27881 | FSI | Chemfill systems - Manual | Model 1000-4 PLC CDM Supplementary Training Package | Manual | 1 | as is where is | immediately | ||
114422 | FSI | Zeta 300 | Wafer Acid Spray Cleaner | 300 mm | 01.03.2009 | 1 | as is where is | immediately | |
75296 | FSI * | Mercury MP * | Acid Spray Process System | 200 mm | 1 | inquire | 1 month | ||
113774 | FSM | 8800 | Wafer Stress measurement | 150 mm | 1 | as is where is | |||
93084 | FSM | SYMPHONYMC | Life Time | 300 MM | 1 | as is where is | |||
83616 | FSP GROUP INC | 100-240 W | POWER SUPPLY for a p.c. | 10A 50-60 Hz | 01.01.2008 | 10 | as is where is | immediately | |
114305 | FTS | FTI-1000 with P8XL Prober | Parametric test System with Prober | 200 mm | 01.08.1999 | 1 | as is where is | ||
83884 | FUJI | CP32 | CIRCUIT PROTECTOR | 5 | as is where is | immediately | |||
84260 | FUJI SEIKI & SILENT | FA-1612HCB-C | FA.FWM. | 1 | |||||
103814 | Fujikin | FBSDV-6.35-2B3-BGC | VALVE BLOCK DIAPH 1/4VCR-F/F/F NC/NC VIM VAR 5RA | Spares | 01.05.2005 | 1 | as is where is | immediately | |
83544 | Fujitsu Denso | FDS26-29 | 750W 26V29A Power supply FOR ADVANTEST T5335P TESTER | Spares | 01.02.1996 | 2 | as is where is | immediately | |
83549 | Fujitsu Denso | FDS11+11 | 750W 34A Power supply FOR ADVANTEST T5335P TESTER | Spares | 01.12.1995 | 1 | as is where is | immediately | |
106475 | Furukawa | UVW-102M | FURUKAWA UV-102 SEMI AUTO UV CURE SYSTEM | N/A | 1 | as is where is | |||
83903 | GACHOT | DN 15-10 | STAINLSS STEEL BALL VALVE | Spares | 2 | as is where is | immediately | ||
96543 | Gaertner | L115C-8 | Ellipsometer, cassette to cassette | 100-200 mm | 28.02.1995 | 1 | as is where is | immediately | |
113775 | Gaertner | L116 | Ellipsometer | 150 mm | 01.06.1987 | 1 | as is where is | ||
112766 | Gaertner | L116B | Ellipsometer | 1 | as is where is | ||||
112767 | Gaertner | L2W16E.1550 | Ellipsometer | 150 mm | 1 | as is where is | |||
109434 | GAIN | G50H13P | RF GENERATOR | Spares | 1 | as is where is | |||
109435 | GAIN | M50H13P | RF GENERATOR | Spares | 1 | as is where is | |||
113496 | Gasonics | L3300 | Asher | 150 mm | 01.06.1992 | 1 | as is where is | immediately | |
113497 | Gasonics | L3300 | Asher | 150 mm | 01.06.1994 | 1 | as is where is | immediately | |
113498 | Gasonics | L3300 | Asher | 150 mm | 01.06.1992 | 1 | as is where is | immediately | |
113499 | Gasonics | L3300 | Asher | 150 mm | 01.06.1992 | 1 | as is where is | immediately | |
113503 | Gasonics | L3510 | Asher | 150 mm | 01.06.1995 | 1 | as is where is | ||
113504 | Gasonics | L3510 | Asher | 150 mm | 01.06.1995 | 1 | as is where is | ||
113505 | Gasonics | L3510 | Asher | 150 mm | 01.06.1995 | 1 | as is where is | ||
113506 | Gasonics | L3510 | Asher | 150 mm | 01.06.1995 | 1 | as is where is | ||
113507 | Gasonics | L3510 | Asher | 150 mm | 01.06.1995 | 1 | as is where is | ||
113508 | Gasonics | L3510 | Asher | 150 mm | 01.06.1995 | 1 | as is where is | ||
113509 | Gasonics | L3510 | Asher | 200 mm | 01.06.1996 | 1 | as is where is | ||
113510 | Gasonics | L3510 | Asher | 150 mm | 01.06.1993 | 1 | as is where is | ||
84075 | Gasonics | DTC2280 | ISA IDE control board floppy HDD | 1 | as is where is | immediately | |||
113060 | GASONICS | IRIDIA 4800 DL | Stripper / Asher | 200 mm | 01.06.1998 | 1 | as is where is | ||
113061 | GASONICS | IRIDIA 4800 DL | Stripper / Asher | 200 mm | 01.06.1998 | 1 | as is where is | ||
113829 | Gasonics | AURA 2000 | DRY ETCH Dry Etch Silicon isotropic gasonic | 150 mm | 1 | as is where is | |||
113062 | GASONICS | IRIDIA 4800 DL | Stripper / Asher | 200 mm | 01.06.1998 | 1 | as is where is | ||
113830 | Gasonics | AURA 2000 | DRY ETCH Dry Etch Silicon isotropic gasonic | 150 mm | 1 | as is where is | |||
91348 | Gasonics | IRIDIA 4800 DL | Stripper / Asher | 31.05.1998 | 1 | as is where is | |||
91349 | Gasonics | IRIDIA 4800 DL | Stripper / Asher | 1 | as is where is | ||||
91351 | Gasonics | IRIDIA 4800 DL | Stripper / Asher | 31.05.1998 | 1 | as is where is | |||
110314 | GASONICS | Gasonics IPC Match | Match | SPARES | 1 | inquire | |||
110315 | GASONICS | Gasonics IPC Match | Match | SPARES | 1 | inquire | |||
110316 | GASONICS | Gasonics Match | Match | SPARES | 1 | inquire | |||
83920 | GAZEL | MG.FE 14 | SUPERCLEAN | 14 | as is where is | immediately | |||
83921 | GAZEL | MG.FC 14 | GSI | 6 | as is where is | immediately | |||
83922 | GAZEL | MG.FE 38 | SUPERCLEAN | 4 | as is where is | immediately | |||
83923 | GAZEL | EMC 38 AB | GSI | 9 | as is where is | immediately | |||
83924 | GAZEL | ECF 14 | SUPERCLEAN | 6 | as is where is | immediately | |||
83925 | GAZEL | ECM 14 | SUPERCLEAN-GSI | 6 | as is where is | immediately | |||
83926 | GAZEL | ECF 12 | SUPERCLEAN | 5 | as is where is | immediately | |||
83927 | GAZEL | ECM 12 | GSI | 1 | as is where is | immediately | |||
108751 | GCA TROPEL | 9000 | Wafer Flatness Analyzer | 1 | as is where is | ||||
110317 | Gencal | GenCal Power Meter | Miscellaneous | SPARES | 1 | inquire | |||
110318 | General Electric | Dummy Load | Miscellaneous | SPARES | 1 | inquire | |||
94596 | Genesys | DC Power Supplies | SPARES | 1 | as is where is | ||||
94597 | Genesys | DC Power Supplies | SPARES | 1 | as is where is | ||||
107016 | Genmark | Various genmark robots and Edwards turbo pumps | Mixed lot of Edwards Turbo pumps, Genmark Robots and controllers and a JEL robot | Spares | 01.06.2013 | 9 | as is where is | immediately | |
108818 | GENMARK | S08R | Robot Controllers, PN 990010622, 2ea Available | SPARES | 1 | inquire | |||
98463 | Genmark | GB4/3L | Atmospheric wafer handling robot with controller | 200 mm | 1 | as is where is | immediately | ||
98464 | Genmark | GB8-MT-80050102 | Single arm Atmospheric wafer handling robot | 200 mm | 1 | as is where is | immediately | ||
101042 | Genmark | AVR series | Cleanroom Vacuum Robot only | Spares | 1 | as is where is | immediately | ||
106945 | Genmark | GB3 | Wafer handling Robot | Robot | 1 | as is all rebuilt | immediately | ||
110782 | Giant Force | GPO-270-B | Burn-In Oven | Reliability | 01.09.2022 | 1 | as is where is | immediately | |
110783 | Giant Force | GTH-150-40-CP-SD | Temperature and Humidity Chamber | Reliability | 01.02.2023 | 1 | as is where is | immediately | |
111342 | Gigaphoton | G21K2-1 | KrF excimer laser 248 nm | Facilities | 01.11.2003 | 1 | as is where is | immediately | |
114550 | GIS | S100 ET510C ASET510C ON7LB | S100 BOARD | SPARES | 1 | as is where is | |||
76735 | GL Automation | IDSCOPE | Wafer bar code reader | 200 mm | 30.06.2004 | 1 | as is where is | immediately | |
76736 | GL Automation | IDSCOPE | Wafer bar code reader | 200 mm | 30.06.2004 | 1 | as is where is | immediately | |
76737 | GL Automation | IDSCOPE | Wafer bar code reader | 200 mm | 30.06.2004 | 1 | as is where is | immediately | |
76738 | GL Automation | IDSCOPE | Wafer bar code reader | 200 mm | 30.06.2004 | 1 | as is where is | immediately | |
76739 | GL Automation | IDSCOPE | Wafer bar code reader | 200 mm | 31.08.2004 | 1 | as is where is | immediately | |
110319 | Glassman High Voltage, Inc | PS/FL1.5F1.0 | Generator | SPARES | 1 | inquire | |||
79892 | Gossen Konstanter | IEC625 | Laboratory Power supply Gossen Konstanter UOP | Electronics Test and Measurement | 1 | as is where is | immediately | ||
106476 | GPD | PBFT856VS | Pull Force Tester | 150 mm/200 mm | 01.06.2000 | 1 | as is where is | immediately | |
94601 | Group 3 | 1981001 | Digital Teslameter | SPARES | 1 | as is where is | |||
83800 | GSC | P140-74 | Gleichstrom Servo Motor | 1 | as is where is | immediately | |||
111367 | HAMAMATSU | L8488-01 LC5 | Lightning Cure | 1 | as is where is | immediately | |||
71907 | Hamamatsu | C7103 | PC Controlled IC Back-side Lapping and Wafer Grinding System | 200 mm and packages | 01.09.2001 | 1 | as is where is | immediately | |
113321 | HAMATECH | HMx Square | Reticle and Mask Cleaner for 7 and 9 inch masks | reticle | 01.11.2008 | 1 | as is where is | immediately | |
84413 | HAMPTON | CYCLETROL 240 | CIRCUIT BREAKER | 1 | as is where is | immediately | |||
106042 | Hardwall cleanroom | Kingspan Ultratech Versatile | 950 sq mt ISO Class 8 cleanroom | facilities | 01.06.2023 | 1 | as is where is | immediately | |
112768 | Haskris | R050E | Chiller | Chiller | 1 | as is where is | |||
110702 | Heidelberg | DWL-200 | Laser Direct-Write Lithography System | Up to 200 mm | 01.06.2007 | 1 | as is where is | immediately | |
114306 | Henniker | Nebula 50 | Plasma Treatment System | 200 mm | 01.06.2018 | 1 | as is where is | ||
110320 | Henry | Henry 2k Controller | Miscellaneous | SPARES | 1 | inquire | |||
110321 | Henry | Henry 2K Controller | Miscellaneous | SPARES | 1 | inquire | |||
110596 | Hesse & Knipps | BJ 820 | Magazine to magazine transport system for wirebonder | Assembly | 01.05.2013 | 1 | as is where is | immediately | |
111740 | Hesse & Knipps | Bondjet 810 | Wedge Bonder | Assembly | 1 | as is where is | |||
109282 | Hesse & Knipps | BJ855 and BJ820 | Wedge Bonders , magazine to Magazine | Assembly | 01.06.2019 | 26 | as is where is | immediately | |
111376 | Hewlett Packard | HP3455A | Digital Voltometer | 1 | as is where is | immediately | |||
111377 | Hewlett Packard | HP54542A | Oscilloscope | 1 | as is where is | immediately | |||
112769 | Hiden Analytical | HPR-20 QIC | Atmospheric/Chamber Gas Analysis System | Facilities | 1 | as is where is | |||
110776 | Highmax | SHM-150 | Wafer mounter | 1 | as is where is | immediately | |||
110777 | Highmax | UV-200 | Curing Oven 8" | 1 | as is where is | immediately | |||
106479 | Highmax | UV-200 | Curing System | 150 mm/200 mm | 1 | as is where is | |||
109568 | Hitachi | CG-4100 | Critical Dimension (CD) Measurement SEM | 300 mm | 01.06.2012 | 1 | as is where is | immediately | |
114432 | Hitachi | 545-5501 | Power Draw Backplane PCB from a Hitachi S6280H CD SEM | Spares | 01.06.1994 | 1 | as is where is | immediately | |
109569 | Hitachi | CG-4000 | Critical Dimension (CD) Measurement SEM | 300 mm | 01.06.2010 | 1 | as is where is | immediately | |
114433 | Hitachi | 566-5503 | ROM PCB from a Hitachi S6280H CD SEM | Spares | 01.06.1994 | 1 | as is where is | immediately | |
114434 | Hitachi | 545-5504 | INT-PTM PCB from a Hitachi S6280H CD SEM | Spares | 01.06.1994 | 1 | as is where is | immediately | |
91397 | HITACHI | IS2700SE | Dark Field inspection | 1 | as is where is | ||||
86278 | Hitachi | 6280H CONTROL RACK | CD SEM (PARTS) | Spares | 01.05.1995 | 1 | as is where is | immediately | |
108040 | Hitachi | Spare Parts | Various Spare Parts for sale | Spares | 1 | as is where is | immediately | ||
109578 | HITACHI | CM-700H | WIRE BONDER | ASSEMBLY | 01.05.2007 | 20 | inquire | immediately | |
91403 | HITACHI | S-5000 | FE SEM | 150 mm,200 mm | 1 | as is where is | |||
60939 | HITACHI | S4160 | Scanning electron microscope | 31.05.1996 | 1 | as is where is | |||
91404 | HITACHI | S-5000 | FE SEM | 150 mm,200 mm | 1 | as is where is | |||
91405 | HITACHI | S-5000 | FE SEM | 150 mm,200 mm | 1 | as is where is | |||
91407 | HITACHI | S-5000 | FE SEM | 150 mm,200 mm | 1 | as is where is | |||
91408 | HITACHI | S-5000 | FE SEM | 150 mm,200 mm | 1 | as is where is | |||
108568 | HITACHI | LS9000 | Wafer Surface Inspection System | 300mm | 01.06.2010 | 1 | as is where is | immediately | |
111385 | Hitachi | 566-5504 | CPU PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately | |
111386 | Hitachi | 565-5506 | MAG. ADJ. PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately | |
111387 | Hitachi | 545-5505 | RS232C PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately | |
111388 | Hitachi | 566-5346 | PANEL I/F PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately | |
111389 | Hitachi | 566-5513 | C/G RAM PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately | |
111390 | Hitachi | 545-5591 | CRTC (T) PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately | |
111391 | Hitachi | 545-5596 | V-AMT (T) PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately | |
111392 | Hitachi | 545-5598 | NV-MEM PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 2 | as is where is | immediately | |
111393 | Hitachi | 545-5507 | SCAN CONTROL PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately | |
111394 | Hitachi | 566-5510 with 566-5540 | HV LENS PCB for Hitachi S6280H CD-SEM | spares | 01.05.1994 | 1 | as is where is | immediately | |
108068 | Hitachi | HL7000M | E-Beam Litho (6 inch mask) | RETICLE | 1 | as is where is | |||
108069 | Hitachi | HL7500M | E-Beam Litho (6 inch mask) | RETICLE | 1 | as is where is | |||
108070 | Hitachi | HL7800M | E-Beam Litho (6 inch mask) | RETICLE | 1 | as is where is | |||
108071 | Hitachi | HL8000M | E-Beam Litho (6 inch mask) | RETICLE | 1 | as is where is | immediately | ||
108072 | Hitachi | S-6280H | CD SEM | 1 | as is where is | ||||
74794 | Hitachi | S5200 | FE SEM with EDX | Inspection | 31.05.2005 | 1 | as is where is | immediately | |
112183 | Hitachi | CG4100 | Metrology CD SEM | 300 mm | 01.06.2008 | 1 | as is where is | ||
112184 | Hitachi | CG4100 | Metrology CD SEM | 300 mm | 01.06.2011 | 1 | as is where is | ||
112185 | Hitachi | HD2300 | Metrology STEM | 300 mm | 01.06.2006 | 1 | as is where is | ||
112186 | Hitachi | IS3200SE | Metrology Dark field inspection | 300 mm | 01.06.2010 | 1 | as is where is | ||
112187 | Hitachi | IS3200SE | Metrology Dark Field Inspection | 300 mm | 01.06.2010 | 1 | as is where is | ||
112188 | Hitachi | IS3200SE | Metrology Dark Field Inspection | 300 mm | 01.06.2010 | 1 | as is where is | ||
112189 | Hitachi | M-501AW | Etch AL microwave etching equipment | 150 mm | 1 | as is where is | |||
112190 | Hitachi | RS5000 | Metrology Resistivity Measurement | 300 mm | 01.06.2007 | 1 | as is where is | ||
53054 | HITACHI | 6280H (SPARES) | SORD Computer for cd sem system | spares | 1 | as is where is | immediately | ||
112191 | Hitachi | RS6000 | Metrology Resistivity Measurement | 300 mm | 01.06.2014 | 1 | as is where is | ||
112192 | Hitachi | RS6000 | Metrology Resistivity Measurement | 300 mm | 01.06.2014 | 1 | as is where is | ||
112193 | Hitachi | S-5200 | Metrology FE-SEM | 200 mm | 01.06.2001 | 1 | as is where is | ||
112194 | Hitachi | S-5500 | Metrology FE-SEM | 300 mm | 01.06.2006 | 1 | as is where is | ||
112195 | Hitachi | S-5500 | Metrology FE-SEM | 300 mm | 01.06.2006 | 1 | as is where is | ||
52301 | Hitachi | 545-5516 | 7 Channel Power Supply module | spares | 0 | as is where is | immediately | ||
52312 | Hitachi | 545-5522 | VG board for CD SEM | spares | 31.05.1994 | 1 | as is where is | immediately | |
103525 | HITACHI | S4700-l | Scanning Electron Microscope | Laboratory | 31.05.2004 | 1 | as is where is | immediately | |
103526 | HITACHI | S4700-ll | FE Sem with Horriba EMAX EDX | Laboratory | 31.05.2001 | 1 | as is where is | immediately | |
113779 | HITACHI | Hitachi 8840 | Metrology CD Measurement CD-SEM | 150 mm | 01.06.1997 | 1 | as is where is | ||
52339 | Hitachi | 545-5521 | EVAC PCB FOR HITACHI CD-SEM | spares | 31.05.1994 | 1 | as is where is | immediately | |
113780 | HITACHI | Hitachi 8840 | Metrology CD Measurement CD-SEM | 150 mm | 01.06.1997 | 1 | as is where is | immediately | |
52340 | Hitachi | 545-5537 | IP-PC2 for cd-sem | spares | 31.05.1994 | 1 | as is where is | immediately | |
52343 | Hitachi | 377-7592 | Power Supply Module for CD SEM | spares | 31.05.1994 | 1 | as is where is | immediately | |
108159 | HITACHI | S4700II | FE SEM with EDAX (Detecting Unit) | 1 | as is where is | ||||
111745 | Hitachi | S-4800 | FE SEM | 300mm | 01.06.0220 | 1 | as is where is | immediately | |
113282 | Hitachi | S-9300 | High Resolution CD-SEM Fully Refurbished and Operational | 200 MM OR 300 MM | 1 | inquire | immediately | ||
111747 | Hitachi | S-5500 | FE SEM | 300mm | 1 | as is where is | |||
114307 | Hitachi | S-9220 | CD Measurement SEM | 200 mm | 01.12.2000 | 1 | as is where is | ||
111748 | Hitachi | S-5500 | FE SEM | 300mm | 1 | as is where is | |||
114308 | Hitachi | S-9220 | CD Measurement SEM | 200 mm | 01.01.2001 | 1 | as is where is | ||
83849 | Hitachi | S4500 Type I | FE SEM | Laboratory | 01.05.1995 | 1 | inquire | immediately | |
113296 | Hitachi | S-8840 | CD SEM - For spares use | 1 | as is where is | immediately | |||
113306 | Hitachi | S5500 | High Resolution FE SEM | Laboratory | 1 | as is where is | immediately | ||
36518 | HITACHI | S-5000 | 1 | inquire | |||||
106663 | HITACHI | IS3000SE | E-beam wafer inspection | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
113319 | Hitachi | S-4700 | Scanning Electron Microscope | 01.06.2001 | 1 | as is where is | immediately | ||
113064 | HITACHI | IS3200SE | Wafer E-BEAM Inspection System | 300 mm | 01.06.2010 | 1 | as is where is | immediately | |
106665 | HITACHI | LS9000 | Wafer Surface Inspection | 300 mm | 01.06.2010 | 1 | as is where is | ||
113066 | HITACHI | NB-5000 | FIB Sem | N/A | 01.06.2011 | 1 | as is where is | ||
102060 | Hitachi | 6280H (Parts) | CD SEM Power Drawer including qty 15 PC Boards in the rack | Spares | 01.06.1995 | 15 | as is where is | immediately | |
113068 | HITACHI | S-5000 | FE Sem | 150 mm,200 mm | 01.06.1996 | 1 | as is where is | ||
113069 | HITACHI | S-5500 | FE Sem | N/A | 1 | as is where is | |||
110766 | Hitachi | S-9260A | CD Measurement SEM | 1 | as is where is | immediately | |||
113070 | HITACHI | S-5500 | FE Sem | 300 mm | 1 | as is where is | |||
114106 | Hitachi | S-9220 | Critical Dimension (CD) Measurement Scanning Electron Microscope | 150 mm | 01.02.2002 | 1 | as is where is | immediately | |
110781 | Hitachi | S-7840 | High Resolution Imaging and CD-SEM | 150 mm to 200 mm | 01.09.2000 | 1 | inquire | immediately | |
52166 | Hitachi | 545-5515 | DC power supply module for CD SEM | spares | 1 | as is where is | immediately | ||
52167 | Hitachi | 6280H | Power Supply Module 4channels | spares | 1 | as is where is | immediately | ||
52168 | Hitachi | 545-5540 | Power Supply unit for S6280H CD SEM | Spares | 1 | as is where is | immediately | ||
108752 | HITACHI | S7000 | CD SEM | 150 mm | 01.05.1989 | 1 | as is where is | immediately | |
98269 | HITACHI | RS 4000 | Defect Review SEM | 300 mm | 01.05.2003 | 1 | as is where is | immediately | |
98270 | HITACHI | RS 4000 | Defect Review SEM | 300 mm | 01.05.2003 | 1 | as is where is | immediately | |
109287 | Hitachi | SU 8010 | Ultra High Resolution Field Emission Scanning Electron Microscope | 100 mm | 01.06.2007 | 1 | as is where is | immediately | |
18925 | HITACHI | 131-9008-1 | Model U-3210 / U 3410recording spectrophotometer available commands | 1 | as is where is | ||||
18926 | HITACHI | 131-9007-1 | Model U3210 / U3410 Recording spectrophotometer operating procedure | 1 | as is where is | ||||
109555 | Hitachi | IS3000 | DARK FIELD INSPECTION | 300 mm | 01.05.2007 | 1 | as is where is | ||
109556 | Hitachi | HD2300 | STEM (Scanning Transmission Electron Microscope) | Laboratory | 01.06.2006 | 1 | as is where is | immediately | |
109561 | Hitachi | S5500 | HIGH RESOLUTION INSPECTION SEM | Laboratory | 01.06.2014 | 1 | as is where is | immediately | |
108027 | HITACHI | N-6000 | NANO PROBER | Laboratory | 01.09.2007 | 1 | as is where is | ||
114429 | Hitachi | 545-5513 | DEF PS PCB from a Hitachi S6280H CD SEM | Spares | 01.06.1994 | 1 | as is where is | immediately | |
114430 | Hitachi | 545-5514 | LENS PS PCB from a Hitachi S6280H CD SEM | Spares | 01.06.1994 | 1 | as is where is | immediately | |
114431 | Hitachi | Nemic-Lambda EWS150-5 | 5V 30A Power Supply from a Hitachi S6280H CD SEM | Spares | 01.06.1994 | 2 | as is where is | immediately | |
109114 | HMI | EScan 400XP | E-Beam wafer inspection system | 300 mm | 01.06.2010 | 2 | as is where is | immediately | |
109115 | HMI | EScan EP3 | E-Beam wafer inspection system | 300 mm | 01.06.2010 | 2 | as is where is | immediately | |
114025 | HMI | eScan 400 | E-beam Defect Inspection | 300 MM | 01.06.2011 | 1 | as is where is | ||
77264 | HOLADAY | HI-1801 | MICROWAVE SURVEY METER | Laboratory | 2 | as is where is | immediately | ||
111470 | HONEYWELL | X000145 | 4 JCT,2-B,W/SIC TUBES | SPARES | 01.10.2008 | 1 | as is where is | immediately | |
112196 | Horiba | PR-PD2 | Metrology Reticle Inspection | 300 mm | 01.06.2013 | 1 | as is where is | ||
114537 | Horiba | UVISEL2 | Ellipsometer | Assembly | 1 | as is where is | |||
108161 | HP | 4145B | CV Measurement | 1 | as is where is | ||||
110616 | HP / Agilent | 4062 | Automated Test equipment | TEST | 1 | as is where is | immediately | ||
108753 | HP / Agilent | 54601A | Oscilloscope, 4 Channel 100 MHz | Electronics Test and Measurement | 1 | as is where is | immediately | ||
83579 | HP HEWLETT PACKARD | 9145A | PC HP HEWLETT PACHARD 9145 | 115/230V | 28.02.1990 | 1 | as is where is | immediately | |
82181 | hps mks | 90 degree flange | HPS MKS Stainless Steel VACUUM FITTING | 1 | as is where is | immediately | |||
77156 | HTC | ISO1010OCRVSA | Pump flange PN 192112412 | Spares | 1 | as is where is | immediately | ||
113781 | HTC | HTC 8010 | Box Washer | 150 mm | 1 | as is where is | |||
110840 | HTC | Solar | Set of spare parts | spares | 656 | as is where is | immediately | ||
77145 | Huber | Unichiller UC060Tw | chiller | Chiller | 1 | as is where is | immediately | ||
107687 | Huttinger | PFG 300 | RF Generator | Spares | 1 | as is where is | immediately | ||
110322 | Huttinger | PFG 300 | Generator | SPARES | 1 | inquire | |||
110323 | Huttinger | PFM 1500 A | Match | SPARES | 1 | inquire | |||
112770 | HYBOND | 616-001 | Ultrasonic Peg Bonder | ASSEMBLY | 01.06.1997 | 1 | as is where is | ||
108162 | HYPERFLOW | CENTURA WET | Wafer Carrier Boat Wash System | 150 mm | 1 | as is where is | |||
108819 | HYPERVISION | Visionary 2 | Emmission Microscope with Karl Suss PM-8 Analytical Prober | 1 | inquire | ||||
110324 | ICP | R48870 | Match | SPARES | 1 | inquire | |||
74236 | ICP DAS | DB-8025 | 2-port Data Acquisition Board | 01.01.2008 | 2 | as is where is | |||
77004 | ICP DAS Omega | DB-16R | Daughter Board | Spares | 01.01.2006 | 2 | as is where is | immediately | |
84238 | IGUS | 07.10.038.0 | Belt | Spares | 1 | as is where is | immediately | ||
13025 | IKO | LWES 15 C1 R460 S2 | Linear way with single bearing block,set of 4 | Spares | 4 | as is where is | immediately | ||
83617 | IKO NIPPON THOMPSON | LWHT 20 C1 R760 B T1 H S2 | LINEAR BEARING AND RAIL | 30.11.2008 | 1 | as is where is | immediately | ||
83618 | IKO NIPPON THOMPSON | T1 H S2 | LINEAR BEARING AND RAIL | 30.11.2008 | 1 | as is where is | immediately | ||
83619 | IKO NIPPON THOMPSON | BCS H S2 | LINEAR BEARING AND RAIL | 30.11.2003 | 1 | as is where is | immediately | ||
83626 | IKO NIPPON THOMPSON | PS1 | LINEAR BEARING | 30.11.2003 | 1 | as is where is | immediately | ||
84222 | IKO NIPPON THOMPSON | LWL 12 C2 | LINEAR BEARING AND RAIL | Spares | 01.11.2008 | 1 | as is where is | immediately | |
108820 | INFICON | XTC/2 | Deposition Controller | SPARES | 1 | as is where is | immediately | ||
83583 | INFICON | 850-200-G1 | LEYBOLD IG 3 VACUUM GAUGE CONTROLLER | RS232 | 1 | as is where is | immediately | ||
112771 | Inficon | QUADREX 200 | Residual Gas Analyzer | Facilities | 1 | as is where is | |||
112772 | Inficon | Transpector CIS | Residual Gas Analyzer | Facilities | 1 | as is where is | |||
112773 | Inficon | TRANSPECTOR CIS2 | Residual Gas Analyzer | Facilities | 1 | as is where is | |||
77151 | Ingersoll Rand | ANAQK-ABXAB-160 | Provenair HT Pneumatic Cylinder | Spares | 01.01.2008 | 1 | as is where is | immediately | |
108821 | INNOLAS | ILS 700 P | Laser Drill | SOLAR | 1 | inquire | |||
56141 | Innolas | ILS 700P | Laser Edge Isolation | 156 mm | 01.11.2006 | 1 | as is where is | immediately | |
114262 | Innolas | 2000DPS | Wafer marker | 200 mm | 01.06.1998 | 1 | as is where is | immediately | |
110724 | Innolas | C3000DPS | Wafer Marking System | 300 MM | 01.06.2002 | 1 | as is where is | immediately | |
86677 | InTest | Test Head | CPIT TEP8 / STFLASH EPROM / 1792 Test Head | Spares | 31.05.2007 | 1 | as is where is | immediately | |
112712 | INVALID[INVALID[CVI ]] | Pump TM-250 Compressor CBST 6.0 A-2 | Cryogenic Pump | Pump | 5 | as is where is | immediately | ||
111459 | INVALID[INVALID[Geanville Phillips]] | 307004/06 01 | Power supply | 1 | as is where is | immediately | |||
113316 | INVALID[INVALID[INVALID[Airology Systems]]] | ISO Class 7 | 250m2 Modular Clean Room | 1 | as is where is | immediately | |||
111365 | INVALID[MPI Thermal ] | ThermalAir TA5000A | Temperature Forcing System | 1 | as is where is | immediately | |||
111421 | INVALID[Riken Kaiki] | GD-K8DG | NF3 Gas Detector | 0 | as is where is | immediately | |||
111424 | INVALID[Simco] | A300 | Aerostat | 0 | as is where is | immediately | |||
110676 | IPEC | 472 | CMP Polishing system | 150 / 200 | 1 | as is all rebuilt | immediately | ||
109184 | IPEC | 372M | Multi-Process CMP | 200mm | 1 | as is where is | |||
98465 | IPEC | 472 | CMP Polishing system | 150 MM / 200 mm | 3 | as is where is | immediately | ||
98466 | IPEC | 472 | CMP | 150 mm | 2 | as is where is | immediately | ||
106504 | Irvine Optical | Auto Wafer Loader Microscope Inspection | WAFER INSPECTION MICROSCOPE WITH AUTOLOADER | 200 mm | 01.06.1990 | 1 | as is where is | immediately | |
108970 | IsMeca | NX16 | TEST SYSTEM | TEST | 01.06.2011 | 1 | as is where is | immediately | |
108754 | IWASHITA | Shotmatic 3 | Epoxy Dispenser, 2ea Available | 1 | inquire | ||||
91419 | J.A Woollam | VUV-VASE (Gen II) | Ellipsometer | 300 mm | 1 | as is where is | |||
91420 | J.A Woollam | VUV-VASE VU302 (Gen I) | Ellipsometer | 200 mm | 31.05.2001 | 1 | as is where is | ||
113072 | J.A Woollam | VUV-VASE (Gen II) | Spectroscopic Ellipsometers | 300 mm | 1 | as is where is | |||
112774 | Japan Radio | NAH-1030-2A/NFC-30-2A | RF GENERATOR 2000W 60 MHZ | SPARES | 2 | as is where is | |||
84552 | JC Systems | Model 510 | Controller and setpoint programmer | spares | 1 | as is where is | immediately | ||
101047 | Jel | SCR32000CS‐ 450‐PM | Cleanroom Handling Robot | Spares | 31.05.2014 | 1 | as is where is | immediately | |
108822 | JEOL | JSM-6600F | Scanning Electron Microscope | 1 | inquire | ||||
91424 | JEOL | JSM-6700F | FE SEM | 1 | as is where is | ||||
110627 | JEOL | JSM-6460LV | Scanning Electron Microscope | Laboratory | 1 | as is where is | |||
114026 | JEOL | JWS-7515 | Wafer Inspection System | 200 MM | 1 | as is where is | |||
108907 | JEOL | JEM3200FS | High Resolution TEM | Laboratory | 01.05.2006 | 1 | as is where is | immediately | |
114540 | JEOL | JSM 6380A | Scanning Electron Microscope | Assembly | 1 | as is where is | |||
109185 | JEOL | JEM-2010F | TEM | Laboratory | 1 | as is where is | |||
108164 | JEOL | JSM-6340F | FE Sem | 1 | as is where is | ||||
114309 | JEOL | JWS-7555 | Wafer Inspection SEM | 200 mm | 01.06.1998 | 1 | as is where is | ||
113313 | JEOL | JWS7550 | SEM Wafer inspection | 1 | as is where is | immediately | |||
113073 | JEOL | JSM-5600 | CD Sem | N/A | 01.06.1999 | 1 | as is where is | ||
113074 | JEOL | JSM-6340F | FE Sem | N/A | 1 | as is where is | |||
113075 | JEOL | JWS-7500E | SEM | 200 mm | 1 | as is where is | |||
113076 | JEOL | JWS-7515 | SEM | N/A | 1 | as is where is | |||
114107 | JEOL | JFS-9815 | Focused Ion Beam System | 200 mm | 1 | as is where is | |||
114108 | JEOL | JFS-9855S | Focused Ion Beam System | 200 mm | 1 | as is where is | |||
114109 | JEOL | JWS-7555 | SEM - Defect Review (DR) | 200 mm | 1 | as is where is | |||
114110 | JEOL | JWS-7555S | SEM - Defect Review (DR) | 200 mm | 1 | as is where is | |||
36564 | JEOL | CD-SEM, JSM-6340F | JEOL | 1 | inquire | ||||
109558 | JEOL | JSM-7500F | SEM | Laboratory | 01.05.2009 | 1 | as is where is | immediately | |
114498 | JESAGI HANKOOK | JSPCS 1000M | PLASMA CLEANER | Assembly | 1 | as is where is | |||
114499 | JESAGI HANKOOK | JSPCS 600G | PLASMA CLEANER | Assembly | 1 | as is where is | |||
114500 | JESAGI HANKOOK | JSPCS-750 | PLASMA CLEANER | Assembly | 1 | as is where is | |||
98726 | Jonas and Redmann | SDB | Automated Loader for Baccini Printing Line | Solar | 31.05.2008 | 1 | as is where is | immediately | |
98727 | Jonas and Redmann | WHD (Wafer Handling Diffusion) | Automated Loader for Centrotherm E2000 Furnace | Solar | 01.05.2008 | 1 | as is where is | immediately | |
98728 | Jonas and Redmann | WHP (Wafer Handling Plasm) | Automated Loader for Anti Reflection Coating System | Solar | 01.05.2008 | 1 | as is where is | immediately | |
77014 | Jonas and Redmann | SDB A | AUTOMATED Loader for baccini Print Line | 156 mm | 01.06.2006 | 1 | as is where is | immediately | |
56310 | Jonas and Redmann | Q2 WHD A | Loader for Centrotherm E2000 furnace | 156 mm and 125 mm | 31.05.2003 | 1 | as is where is | immediately | |
91427 | Jordan Valley | JVX 6200 | X-ray metrology (X-Ray Reflectivity) | 300 mm | 1 | as is where is | |||
100917 | Jordan Valley | JVX6200 | X-Ray Inspection System | 300 mm | 31.05.2010 | 1 | as is where is | ||
113782 | JORDAN VALLEY | 5200 | Metrology XRF | 150 mm | 01.06.2003 | 1 | as is where is | ||
114111 | Jordan Valley | JVX 7200 | X-ray Fluorescence Spectrometer | 300 mm | 1 | as is where is | |||
99830 | JORDAN VALLEY | JVX6200I | X-ray Metrology System | 300 mm | 28.02.2011 | 1 | as is where is | immediately | |
114310 | JST | Clean Bench - Etch | Acid Wet Bench | 200 mm | 01.06.2018 | 1 | as is where is | ||
109042 | JST | CLV | IPA Wafer Dryer | 200 mm | 01.12.2005 | 1 | as is where is | immediately | |
114455 | K and S | Iconn | WIRE BONDER | Assembly | 1 | as is where is | |||
114456 | K and S | MAXUM ULTRA | WIRE BONDER | Assembly | 2 | as is where is | |||
114457 | K and S | MAXUM ULTRA | WIRE BONDER | Assembly | 14 | as is where is | |||
114458 | K and S | MAXUM PLUS | WIRE BONDER | Assembly | 13 | as is where is | |||
114464 | K and S | 8060 | WEDGE BONDER | Assembly | 2 | as is where is | |||
106917 | K AND S | SPRINT | HIGHSPEED AUTOMATIC WIRE BONDER | ASSEMBLY | 1 | as is where is | immediately | ||
18866 | K AND S | 98060-0000-001-01 | Manual for Model 8060 automatic wedge bonder | 1 | as is where is | ||||
109028 | K AND S | 8028 | Automatic Ball Bonder | Assembly | 01.03.2000 | 1 | as is where is | immediately | |
79595 | K Tech Engineering | BK04A | Blister tape applicator for microelectronic components | Assembly | 01.05.2010 | 1 | as is where is | immediately | |
111380 | K&S | Power Fusion HT1 | Wedge Bonder | 1 | as is where is | immediately | |||
108823 | K&S | 4123 | Manual Wedge Bonder | ASSEMBLY | 1 | inquire | |||
108824 | K&S | 4124 | Manual Thermosonic Ball Bonder | ASSEMBLY | 1 | inquire | |||
108825 | K&S | 4129 | Manual Deep Access Wedge Bonder | ASSEMBLY | 1 | as is all rebuilt | |||
108826 | K&S | 4526 | Manual Wedge Bonder, with Vertical Wire Feed | ASSEMBLY | 1 | inquire | |||
108827 | K&S | 6497 | Semi-Automatic Flip Chip Epoxy Die Bonder | ASSEMBLY | 1 | as is all rebuilt | 1 month | ||
108828 | K&S | 8020 | Automatic Ball Bonder | ASSEMBLY | 1 | inquire | |||
108829 | K&S | 8028 | Automatic Ball Bonder | ASSEMBLY | 1 | inquire | |||
108832 | K&S | 4524AD | Manual Thermosonic Ball Bonder | ASSEMBLY | 1 | inquire | |||
108755 | K&S | 9388 Laser Pro | Automatic Ball Attach System | 1 | as is where is | ||||
108756 | K&S | 1471 | Automatic wedge bonder | Assembly | 2 | as is where is | immediately | ||
108757 | K&S | 1488 Plus | Automatic Gold Ball Bonder | Assembly | 01.05.1997 | 1 | as is where is | immediately | |
114311 | Kaijo | RT-1041T | Acid Wet | 200 mm | 01.06.1998 | 1 | as is where is | ||
114112 | Kaijo | 778T-A | Batch Wafer Processing | 200 mm | 1 | as is where is | |||
84231 | Kalrez | O-RING AS-568A | o-ring seal | Spares | 2 | as is where is | immediately | ||
110609 | Karl Suss | MA-200 | Mask Aligner with CIC1000 lamp housing | 200 mm | 1 | as is where is | immediately | ||
110611 | KARL SUSS | MJB-3 | Mask Aligner | 1 | as is where is | immediately | |||
110612 | KARL SUSS | MA56 | Mask Aligner | 1 | as is where is | immediately | |||
110619 | KARL SUSS | PA200HS | Prober | 200 mm | 1 | as is where is | |||
109597 | Karl Suss | MA150e | Mask Aligner with TSA | 150 mm | 01.06.2007 | 1 | inquire | ||
108833 | KARL SUSS | MA-4 | Mask Aligner, IR Backside Alignment with Single Microscope, for up to 4" Wafers | 1 | inquire | ||||
108834 | KARL SUSS | MA-45 | Mask Aligner, Front Side Alignment with Splitfield Microscope, for up to 4" Wafers | 1 | inquire | ||||
108835 | KARL SUSS | PM-8 | Analytical Wafer Prober | 1 | inquire | ||||
108073 | Karl Suss | MA150 | Mask Aligner | 125 mm | 1 | as is where is | |||
108074 | Karl Suss | Mask | 9inch Mask for 8inch Aligner | spares | 1 | as is where is | |||
109615 | Karl Suss | MJB4 | 350W Mask Aligner | 100 mm | 1 | inquire | |||
99394 | KARL SUSS | MA 150 | Mask aligner (For spares use) | 150 mm | 1 | as is where is | immediately | ||
114243 | Karl SUSS | MA200e | Mask Aligner | 200 mm | 1 | as is where is | |||
114244 | Karl Suss | GAMMA80 | Photoresist Spray Coater | 200 mm | 1 | as is where is | immediately | ||
108906 | Karl SUSS | MA200 | Mask Aligner | 150 mm, 200 mm | 01.05.1995 | 1 | as is where is | ||
110699 | Karl Suss | MA 150 | Mask Aligner -Orange Top Type | 150 mm | 01.06.1995 | 1 | as is where is | immediately | |
108908 | Karl Suss | MA200 | Mask Aligner | 200 mm | 01.05.2000 | 1 | as is where is | ||
108909 | Karl SUSS | MA200 | Mask Aligner | 150 mm-200 MM | 01.05.1992 | 1 | as is where is | immediately | |
112775 | Karl Suss | MA 150M | Mask Aligner with splitfield video | 150 mm | 1 | as is where is | |||
112776 | Karl Suss | PM5 | Prober | 1 | as is where is | ||||
112777 | Karl Suss | PM5 Type: 0577065 | Prober | 150 mm | 1 | as is where is | |||
112778 | Karl Suss | SOM4 | Prober | 1 | as is where is | ||||
108939 | Karl Suss | MA 56 | Mask Aligner | 1 | inquire | 1 month | |||
110742 | Karl Suss | Gamma | Photoresist Spray Coater and Developer | 200 mm | 01.06.2016 | 6 | as is where is | ||
110748 | Karl Suss | ACS | Photoresist Spray Coater and Developer | 200 mm | 01.06.2021 | 1 | as is where is | ||
113077 | KARL SUSS | PM8 | Prober | 200 mm | 01.06.1996 | 1 | as is where is | ||
108758 | KARL SUSS | MA6 | Mask Aligner | 50-150 mm | 1 | as is all rebuilt | 1 month | ||
106897 | Karl Suss Micro Tec | MA200 | Mask Aligner with CIC1000 lamp housing -suitable for spare use | 200 mm | 1 | as is where is | immediately | ||
106915 | Karl Suss Micro Tec | PA-200 | Wafer Prober Station | 01.06.2001 | 1 | as is where is | immediately | ||
106916 | Karl Suss Micro Tec | PA200 | Wafer Prober Station | 01.06.2006 | 1 | as is where is | immediately | ||
111601 | Karl Suss Micro Tec | MA150e | Mask Aligner with TSA | 150 mm / 100 mm | 01.06.2010 | 1 | as is all rebuilt | immediately | |
106798 | Kawasaki | 3NS411B-F006 | Robot with cable and Controller | Spares | 01.06.2008 | 1 | as is where is | immediately | |
98468 | Kawasaki | 3NX540B-A302 | atmospheric wafer robot( AMAT producer) | 300 mm | 1 | as is where is | immediately | ||
98469 | Kawasaki | NS410B-A002 | atmospheric wafer robot( AMAT producer) | 300mm | 1 | as is where is | immediately | ||
103208 | Keller | VARIO-T 1.0-SC8-B30-HD | Scrubber / Compact Dust Separator for Baccini laser unit exhaust air | Facilities | 01.10.2011 | 1 | as is where is | immediately | |
106818 | Kensington | WFH4C | wafer robot with aligner | 150/200mm | 1 | as is where is | immediately | ||
106819 | Kensington | WFH4D | wafer robot with aligner | 150/200mm | 1 | as is where is | immediately | ||
84388 | KEYENCE | FU-12 | PHOTO SENSOR | 4 | as is where is | immediately | |||
84392 | KEYENCE | PS SERIES | PHOTO SENSOR | 6 | as is where is | immediately | |||
84393 | KEYENCE | PS SERIES | PHOTO SENSOR | 1 | as is where is | immediately | |||
84394 | KEYENCE | PS SERIES | PHOTO SENSOR | 3 | as is where is | immediately | |||
113783 | KEYSIGHT | HP4062U | Parametric Test System | 150 mm | 1 | as is where is | |||
113784 | KEYSIGHT | HP4062U | Parametric Test System | 150 mm | 1 | as is where is | |||
113785 | KEYSIGHT | HP4062U | Parametric Test System | 150 mm | 1 | as is where is | |||
113786 | KEYSIGHT | HP4062U | Parametric Test System | 150 mm | 1 | as is where is | |||
108836 | KINETIC SYSTEMS | Vibraplane 1202-22-12S | Vibration Isolation Table, 47" x 36" | SOLAR | 1 | inquire | |||
108759 | KINETIC SYSTEMS | Vibraplane 1201-01-11 | Vibration Isolation Table 30"x35"x29"(h) | 1 | as is where is | ||||
109075 | KLA | AIT FUSION UV (SPARES) | Hard Disk Drive with software for KLA AIT Fusion UV | spares | 01.11.2007 | 1 | as is where is | immediately | |
108569 | KLA | 2131 | Inspection System | 200 mm | 01.05.1995 | 1 | as is where is | immediately | |
84000 | KLA | 750-653120-00C0 | Power Line Conditioner / Transformer for KLA 2122 | SPARES | 31.01.1996 | 1 | inquire | immediately | |
86304 | KLA | 1007 | Chuck, prober, 6" gold chuck assembly | 200 mm | 1 | inquire | immediately | ||
53026 | KLA | 715-023506-00 | Complete lead screw and stepping motor for KLA 2xx reticle inspection system | spares | 1 | as is where is | immediately | ||
114469 | KLA | ICOS T830 | COMPONENT INSPECTION SYSTEM | Assembly | 1 | as is where is | |||
114470 | KLA | CI-T53P | COMPONENT INSPECTION SYSTEM | Assembly | 1 | as is where is | |||
87086 | KLA | 655-6616141-00 | Wafer stage, kla 21xx | 200 mm | 1 | as is where is | immediately | ||
109106 | KLA | Surfscan AIT 3 | Wafer particle Inspection | 200 mm | 01.06.2005 | 1 | as is where is | immediately | |
111416 | KLA | 2139-UI | Brightfield patterned surface defect inspection | 1 | as is where is | immediately | |||
101693 | KLA | ASET-F5x | Thin Film Measurement System | 150 mm / 200 MM / 300 MM | 01.06.2003 | 1 | as is where is | immediately | |
34115 | KLA | 740-401-320 | P-N 073-401-320 AIRLOCK | 1 | |||||
110659 | KLA | UV1250SE | Thin film meansurement system | 125 mm - 200 mm | 01.08.1998 | 1 | as is where is | immediately | |
110660 | KLA | 7700 | Surfscan wafer particle detection system | 100 - 200 mm | 1 | as is where is | immediately | ||
112197 | KLA | 5100 | Overlay Measurement System | 200 mm | 01.06.1995 | 1 | as is where is | ||
34118 | KLA | Ceramic table | 8" Ceramic Table & Misc Parts | 1 | |||||
112198 | KLA | 8100XP | Metrology CD SEM | 200 mm | 01.06.1999 | 1 | as is where is | ||
34119 | KLA | 7700M (SPARES) | Lens PCB 042763 | Spares | 1 | ||||
112199 | KLA | 8100XP | Metrology CD SEM | 200 mm | 01.06.1999 | 1 | as is where is | ||
112200 | KLA | 8250 | Metrology CD SEM | 200 mm | 01.06.2001 | 1 | as is where is | ||
114248 | KLA | Candela 8720 | Wafer Inspection System | 150 mm / 200 mm | 01.12.2017 | 1 | as is where is | immediately | |
34121 | KLA | 7700m | robot Dist. PCB | 1 | as is where is | immediately | |||
112201 | KLA | 8250XP | Metrology CD SEM | 200 mm | 01.06.2000 | 1 | as is where is | ||
34122 | KLA | 7700m | Keyboard Assy | 1 | |||||
112202 | KLA | Aleris CX | Metrology Film Thickness Measurement | 300 mm | 01.06.2007 | 1 | as is where is | ||
34123 | KLA | 7700m | 253537 Rev A PCB Microscope Dist | 1 | |||||
112203 | KLA | Aleris CX | Metrology FilmThickness Mesuarement | 300 mm | 01.06.2007 | 1 | as is where is | ||
110668 | KLA | UV1280SE | Thin Film Thickness Measurement System / Ellipsometer | Up to 200 mm | 01.01.2000 | 1 | as is where is | immediately | |
112204 | KLA | Aleris CX | Metrology FilmThickness Mesuarement | 300 mm | 01.06.2007 | 1 | as is where is | ||
84301 | KLA | 710-658036-20 | Alignment Processor board REV C3 | Spares | 1 | as is where is | immediately | ||
112205 | KLA | Aleris HX8500 | Metrology Aleris Ellipsometer Thin Film Measurement System | 300 mm | 01.06.2008 | 1 | as is where is | ||
84302 | KLA | 710-658041-20 | Alignment PRocessor Phase 3 Board REV E0 | Spares | 1 | as is where is | immediately | ||
112206 | KLA | Archer 200 AIM | Metrology Overlay | 300 mm | 01.06.2010 | 1 | as is where is | ||
84303 | KLA | 710-658046-20 | PRocessor Board REV E0 | Spares | 1 | as is where is | immediately | ||
112207 | KLA | EDR5210 | Metrology DRSEM | 300 mm | 1 | as is where is | |||
84304 | KLA | 710-658177-20 | Interpolator phase 3 Board REV F1 | Spares | 2 | as is where is | immediately | ||
112208 | KLA | EDR5210 | Metrology DRSEM | 300 mm | 01.06.2010 | 1 | as is where is | ||
84305 | KLA | 710-658172-20 | Y Interpolator C,PH3 Board REV J1 | Spares | 2 | as is where is | immediately | ||
112209 | KLA | HRP-340 | Metrology Surface Profilometer | 300 mm | 01.06.2003 | 1 | as is where is | ||
84306 | KLA | 710-655651-20 | Cornerturn 3 PC board REV C0 | Spares | 1 | as is where is | immediately | ||
106066 | KLA | 710-029946-00 Rev:XE | Corrector-Formatter PCB M2A only | spares | 01.06.1990 | 1 | as is where is | immediately | |
112210 | KLA | NANOMAPPER | Metrology Nanotopography | 300 mm | 01.06.2006 | 1 | as is where is | ||
84307 | KLA | 710-659412-00 | Mass Memory PCB REV C0 | Spares | 1 | as is where is | immediately | ||
34131 | KLA | 7700m | Pittmann Motor 94337528 Microscope driver | 1 | |||||
112211 | KLA | NANOMAPPER | Metrology Nanotopography | 300 mm | 1 | as is where is | |||
84308 | KLA | 710-658232-20 | Memory Controller Phase 3 PC board REV H1 | Spares | 1 | as is where is | immediately | ||
34132 | KLA | 7700m | 181137 Drive Assy PCB | 1 | as is where is | immediately | |||
112212 | KLA | NANOMAPPER | Metrology Nanotopography | 300 mm | 1 | as is where is | |||
84309 | KLA | 710-658086-20 | PC Board, REV E0 | Spares | 1 | as is where is | immediately | ||
112213 | KLA | NANOMAPPER | Metrology Nanotopography | 300 mm | 1 | as is where is | |||
112214 | KLA | 6200 (For spares use) | darkfield wafer inspection (Frame only) | 200 mm | 1 | as is where is | |||
34135 | KLA | 7700m | 174203 Rev D PCB Flat finder pwd Driver | 1 | as is where is | immediately | |||
110679 | KLA | Surfscan 6400 | Unpatterned wafer surface particle inspection system | 100-200 MM | 01.06.1994 | 1 | inquire | immediately | |
112215 | KLA | 7700 | Surfscan wafer particle inspection | 125 mm | 01.02.1996 | 1 | as is where is | ||
112216 | KLA | SP1 (Parts) | Dual Foup 300 mm EFEM only | 300 mm | 01.06.2004 | 1 | as is where is | ||
112217 | KLA | SP3 | Metrology Particle counter | 300 mm | 01.06.2014 | 1 | as is where is | ||
87642 | KLA | 6400 6220 | Set of New OEM Cables FOR SURFSCAN MODELS 6200 AND 6400 | Spares | 1 | as is where is | immediately | ||
34138 | KLA | 7700m (spares) | p/n 252948 Rev B Motor Lamp Drive SFS 76 | SPARES | 1 | as is where is | immediately | ||
111450 | KLA | ZETA 20 | 3D Optical Profiling Microscope | 200 mm | 01.08.2022 | 1 | as is where is | immediately | |
112218 | KLA | Spectra FX200 | Metrology Film Thickness Measurement | 300 mm | 01.06.2006 | 1 | as is where is | ||
34139 | KLA | 7700m | Front Panel PCB | 1 | as is where is | immediately | |||
112219 | KLA | SpectraShape 8660 | Metrology OCD Measurement | 300 mm | 01.06.2012 | 1 | as is where is | ||
112220 | KLA | SpectraShape 8660 | Metrology OCD Measurement | 300 mm | 01.06.2012 | 1 | as is where is | ||
112221 | KLA | WI-2250 | Metrology Optical Inspection | 200 mm | 1 | as is where is | |||
110686 | KLA | eDR-5200 PLUS | Defect Review Sem WITH DEFECT CLASSIFICATION CAPABILITY | 300 mm | 01.06.2009 | 1 | as is where is | immediately | |
112222 | KLA | WI-2280 | Metrology Optical Inspection | 200 mm | 1 | as is where is | |||
34143 | KLA | 7700m | 181830 Rev D, National Instruments AT-GPIB/TNT | 1 | |||||
34144 | KLA | 7700m | EMO Switch | 1 | |||||
106080 | KLA | 710-023236-00 Rev B1 | P3 Scan delay FIR Filter PCB | Spares | 1 | as is where is | immediately | ||
34145 | KLA | 7700m | Leadscrew and Servo Motor CMC MT2115-014DF | 1 | |||||
106081 | KLA | 710-022410-01 Rev A5 | AR GRAPHICS DISPLAY CONTROLLER 2 PCB | Spares | 1 | as is where is | immediately | ||
106082 | KLA | 710-029421-00 Rev C2 | SERVO DRIVE 2 III PCB | Spares | 1 | as is where is | immediately | ||
34147 | KLA | 7700m | Mirror Assy with Fiber Optic | 1 | |||||
106083 | KLA | 710-023589-00 Rev B2 | SERVO DRIVE 1 III PCB | Spares | 1 | as is where is | immediately | ||
106084 | KLA | 710-101836-02 Rev G3 | AUTOFOCUS 2 PCB | Spares | 1 | as is where is | immediately | ||
34154 | KLA | 7700m | 201989 Concave Mirror | 1 | |||||
114027 | KLA | PROMETRIX FT750 | Film Thickness Measurement | 200 MM | 1 | as is where is | |||
84076 | KLA | 050-654234-00 | Lamp Micro Line Filament w/ clips | 30.09.1996 | 1 | as is where is | immediately | ||
34160 | KLA | 7700m | Detector Assy | 1 | |||||
34161 | KLA | 7700m | Mouse & PCB 240C | 1 | |||||
106865 | KLA | 2830 (PARTS) | EFEM ONLY with Yaskawa XURCM9206 robot | 300 mm | 01.02.2010 | 1 | as is where is | immediately | |
34162 | KLA | 7700m | Convex Glass Plate | 1 | |||||
34163 | KLA | 7700m | two cables 7 brackets | 1 | |||||
34164 | KLA | 7700 | Misc Bracket | 1 | |||||
34167 | KLA | 7700m | Photomultiplier | 1 | |||||
106106 | KLA | 710-022400-01 D5 | Graphic Display Controller 1 PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
106107 | KLA | 710-023602-00 B | Display System Trap, RF PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
113787 | KLA | 1007 | Wafer Prober | 150 mm | 1 | as is where is | |||
106108 | KLA | 710-023256-00 C2 | P3 Data Input PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
113532 | KLA | SURFSCAN 7700 | Defect inspection system | 150 mm | 01.06.1996 | 1 | as is where is | ||
113788 | KLA | 1007 | Wafer Prober | 150 mm | 1 | as is where is | |||
106109 | KLA | 710-023141-00 | Assy, Formatter p3 PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
113789 | KLA | 1007 | Wafer Prober | 150 mm | 1 | as is where is | |||
31614 | KLA | 8100 | PICOAMP 11 P/N 720-02964-000 | 2 | |||||
106110 | KLA | 710-028001-00 A1 | Image Memory PCB for KLA 2xx reticle inspection system | Spares | 01.06.1991 | 1 | as is where is | immediately | |
113790 | KLA | 1007 | Wafer Prober | 150 mm | 1 | as is where is | |||
31615 | KLA | 8100 (Spares) | wafer tabel for CD SEM P/N 731-09404-047 Rev 2 | spares | 1 | as is where is | immediately | ||
106111 | KLA | 710-028014-01 E | ADBA PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
113791 | KLA | Alpha Step 500 | Metrology Thickness Measurement | 150 mm | 01.06.1994 | 1 | as is where is | ||
31616 | KLA | 8100 | Plate Wafer P/N 731-08507-004 | 31.12.2000 | 2 | ||||
106112 | KLA | 710-028001-00 A1 | Image Memory PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
113792 | KLA | Alpha Step 500 | Metrology Thickness Measurement | 150 mm | 01.06.1994 | 1 | as is where is | ||
106113 | KLA | 710-023596-00 C2 | Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
113793 | KLA | 2133 | Metrology Defect inspection (Bright Field) | 150 mm | 01.06.1995 | 1 | as is where is | ||
31618 | KLA | 8100 | Block, Pivot ,Keybd P/N 740-03389-000 | 10 | |||||
106114 | KLA | 710-023596-00 C2 | Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
113794 | KLA | 5200XP | Metrology Alignment \ Overlay Measurement | 150 mm | 01.06.1996 | 1 | as is where is | ||
31619 | KLA | 8100 | Bracket retainer keybd P/N 740-03390-000 | 10 | |||||
106115 | KLA | 710-023596-00 C2 | Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
113795 | KLA | 5200XP | Metrology Alignment \ Overlay Measurement | 150 mm | 01.06.1996 | 1 | as is where is | ||
31620 | KLA | 8100 | Interface cable set P/N 810-09072-002 REV A | Spares | 2 | as is where is | immediately | ||
106116 | KLA | 710-039524-00 A | AP 1 210 series PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
113796 | KLA | RS-35 | Metrology Sheet resistance OMNP | 150 mm | 01.06.1991 | 1 | as is where is | ||
31621 | KLA | 8100 | MCA Module P/N 720-02847-000 | 1 | |||||
106117 | KLA | 710-028001-00 A1 | Image Memory PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
113797 | KLA | RS-35 | Metrology Sheet resistance OMNP | 150 mm | 01.06.1991 | 1 | as is where is | ||
31622 | KLA | 8100 | P/N 740-05635-000 REV A | 2 | |||||
106118 | KLA | 710-028014-00 B3 | Image Memory Address PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
113798 | KLA | Surfscan 6400 | Metrology Particles measurement (laser) INSP.TOOLS | 150 mm | 01.06.1992 | 1 | as is where is | ||
31623 | KLA | 8100 | T Piece P/N 471-07945-000 | 1 | |||||
106119 | KLA | 710-028001-00 A1 | Image Memory PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
113799 | KLA | 2410 | Macro defect inspection system | 150 mm | 01.06.2008 | 1 | as is where is | ||
31624 | KLA | 8100 | Ground Strap P/N 810-04308-005 | 12 | |||||
106120 | KLA | 710-037887-01 B | BMMC M2A with RIA signal mod. PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
111752 | KLA | Opti-Probe 5240 | Film Thickness Measurement System | 200mm | 1 | as is where is | |||
113800 | KLA | 6420 | Metrology Particles measurement (laser) INSP.TOOLS | 150 mm | 01.06.1995 | 1 | as is where is | ||
31625 | KLA | 8100 | Ground Strap P/N 810-04308-004 | 10 | |||||
106121 | KLA | 710-029767-00 REV D | UPLL RF PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
111753 | KLA | UV-1250SE | Film Thickness Measurement System | 200mm | 1 | as is where is | |||
31626 | KLA | 8100 | Bracket P/N 740-07893-000 | 1 | |||||
106122 | KLA | 710-036420-00 XB | Defect Concatenator 4 PCB -IAS compatible-newest version PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
31627 | KLA | 8100 | P/N 740-05728-000 | 2 | |||||
106123 | KLA | 710-036380-00 C | IAS parameter 2 PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
31628 | KLA | 8100 | P/N 740-07892-000 Rev A | 2 | |||||
106124 | KLA | 710-023279-00 H2 | 04/16 level dump PCB for KLA 2xx reticle inspection system | Spares | 01.09.1991 | 1 | as is where is | immediately | |
31629 | KLA | 8100 | Flex Pipe | 1 | |||||
106125 | KLA | 710-040042-00 XB | e-series detector, threshold 2x2 259 M2A PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
31630 | KLA | 8100 | PCB 830-10172-000 Rev 3 | 1 | |||||
106126 | KLA | 710-023455-00 XC | e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
31631 | KLA | 8100 | Bracket P/N 740-05415-000 Rev A | 1 | |||||
106127 | KLA | 710-029694-00 XF | e-series detector,data 259 ROQ PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
31632 | KLA | 8100 | Festo PU-3 Duo air Pipe | 1 | |||||
106128 | KLA | 710-023455-00 XC | e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
31633 | KLA | 8100 | Plastic Disc | 1 | |||||
106129 | KLA | 710-039924-00 A1 | SERVO DRIVE 3 IV PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
106130 | KLA | 710-028287-01 G1 | servo 4 II PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
106131 | KLA | 710-023599-01 B1 | Computer IF (RF) PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
106132 | KLA | 710-037718-00 C | SBC 3.5 inch floppy PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
106133 | KLA | 710-023273-00 C | 512 K ram, 210 series PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
106134 | KLA | 710-037717-00 A | FDD controller, 3.5 inch PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
106135 | KLA | 710-029924-00 REV F | Preprocessor 1 (RF) PCB for KLA 2xx reticle inspection system | Spares | 2 | as is where is | immediately | ||
106136 | KLA | 710-029927-00 REV E | Preprocessor 2 (RF) PCB for KLA 2xx reticle inspection system | Spares | 2 | as is where is | immediately | ||
106137 | KLA | 710-037889-00 Rev XA | Preprocessor I/O PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
106138 | KLA | 710-036106-00 REV D | Defect Highlighting Control 3 PCB for KLA 2xx reticle inspection system | Spares | 01.09.1992 | 1 | as is where is | immediately | |
27803 | KLA | 8100 | KLA 8100 SEM Part 740-03565-000 | 5 | |||||
113307 | KLA | Candela CS10 | Compound Wafer Particle Inspection | 100 mm | 1 | as is where is | immediately | ||
27804 | KLA | Defect Highlighting PC | Defect Highlighting PC for KLA 2xx reticle inspection system | spares | 1 | as is where is | immediately | ||
106140 | KLA | 710-037699-00 XA1 | gain/offset testpoint board PCB for KLA 2xx reticle inspection system | Spares | 1 | as is where is | immediately | ||
31645 | KLA | 259 (Spares) | Set of 2 Objectives and 2 illuminator lenses for KLA 259 reticle inspection system | Spares | 01.06.1991 | 4 | as is where is | immediately | |
106141 | KLA | 710-102570-02 Rev 1 | Autofocus Pre-Amplifier PCB PCB for KLA 2xx reticle inspection system | Spares | 2 | as is where is | immediately | ||
106142 | KLA | 210e and 259 (Spares) | Encoders, 2500 LPI for KLA 2xx reticle inspection system | Spares | 2 | as is where is | immediately | ||
27807 | KLA | 720-05887-000 | MCP Detector Control Chassis | spares | 3 | as is where is | immediately | ||
106143 | KLA | 740-064388-000 | RETICLE TRANSFER SYSTEM FOR 5 AND 6 INCH PHOTOMASKS | 5 INCH/6 INCH | 01.06.1999 | 1 | as is where is | immediately | |
110751 | KLA | OP 2600 | THIN FILM MEASUREMENT | 200 mm | 1 | as is where is | immediately | ||
27809 | KLA | 259 (spares) | Trinocular Microscope Head for KLA 2xx reticle inspection system | SPARES | 01.05.1992 | 1 | as is where is | immediately | |
106146 | KLA | 740-210171-00 ORH | Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system | spares | 01.05.1992 | 1 | as is where is | immediately | |
113314 | KLA | Surfscan SP3+ | Darkfield Wafer Particle Detection System | 300 mm | 01.10.2017 | 1 | as is where is | immediately | |
83635 | KLA | 712-023914-00 rev B | CCD TV camera for KLA 2XX reticle inspection system | SPARES | 1 | as is where is | immediately | ||
113078 | KLA | Viper 2430 | Macro Defect Inspection | 300 mm | 01.06.2004 | 1 | as is where is | ||
113079 | KLA | Viper 2435 | Macro Defect Inspection | 300 mm | 01.06.2004 | 1 | as is where is | ||
113080 | KLA | Viper 2435XP | Macro Defect Inspection | 300 mm | 01.06.2004 | 1 | as is where is | ||
108989 | KLA | 710-661729-00 CD0 | S.A.T. RANDOM DEFECT PROCESSOR PCB FOR KLA 21XX | Spares | 01.08.1996 | 1 | as is where is | immediately | |
4288 | KLA | 710-101836-02 REV D | AUTOFOCUS II POWER AMP | Spares | 1 | as is where is | |||
4289 | KLA | 710-102570-02 | AUTOFOCUS PRE-AMPLIFIER | Spares | 2 | as is where is | |||
114113 | KLA | AIT UV | Darkfield Inspection | 300 mm | 1 | as is where is | |||
4290 | KLA | VLSI 845 | DUPONT VERIMASK for KLA 2xx reticle inspection system | Spares | 01.12.1990 | 1 | as is where is | immediately | |
110786 | KLA | SP1-TBI | Wafer Particle Detection System (Surfscan) | 200 mm | 1 | inquire | immediately | ||
114114 | KLA | Archer 500 | Overlay Measurement System | 300 mm | 1 | as is where is | |||
110787 | KLA | SP1-TBI | Wafer Particle Detection System (Surfscan) | 200 mm / 300 mm | 1 | inquire | immediately | ||
114115 | KLA | ASET-F5x | Film Thickness Measurement System | 300 mm | 1 | as is where is | |||
110788 | KLA | SP1-TBI | Wafer Particle Detection System (Surfscan) | 200 mm | 1 | inquire | immediately | ||
114116 | KLA | eDR-5210 | SEM - Defect Review (DR) | 300 mm | 1 | as is where is | |||
114117 | KLA | eDR-5210 | SEM - Defect Review (DR) | 300 mm | 1 | as is where is | |||
114118 | KLA | eS805 | E-beam Inspection | 300 mm | 1 | as is where is | |||
1736 | KLA | 710-101836-02 | AUTOFOCUS 2 HV PCB for KLA 2xx reticle inspection system | SPARES | 01.01.1985 | 1 | as is where is | immediately | |
111561 | KLA | Spectra-FX100 | Thin Film Measurement System | 300 mm | 01.06.2012 | 3 | as is where is | immediately | |
106189 | KLA | 740-401-320 | AIRLOCK ASSEMBLY PCB | Spares | 01.09.1989 | 1 | as is where is | immediately | |
111565 | KLA | Spectra-CD-100 | Thin Film Measurement System | 300 mm | 01.12.2004 | 1 | as is where is | immediately | |
106191 | KLA | 900-01003-120 | Assy. Software System 8xxx V3.1.2 | Spares | 01.06.2000 | 1 | as is where is | immediately | |
111567 | KLA | Archer 500 LCMU | Overlay Measurement System | 300 mm | 01.01.2016 | 1 | as is where is | immediately | |
106968 | KLA | SP2 (spare parts) | Complete set of calibration standard wafers for a KLA SP2 | 200 mm | 01.11.2022 | 1 | inquire | immediately | |
108775 | KLA | AlphaStep 300 | Profilometer | 1 | inquire | ||||
108009 | KLA | UV1250SE | Wafer Film measurement / Ellipsometer | 200 mm | 01.10.1996 | 1 | as is where is | immediately | |
111597 | KLA | Surfscan 6220 | Wafer Particle Detection System | 200 mm | 01.07.1997 | 1 | as is where is | immediately | |
111598 | KLA | AlphaStep 500 | Stylus Profilometer | 150 MM | 01.08.1997 | 1 | as is where is | immediately | |
111346 | KLA | Quantox XP | Electrical Measurement | 300 mm | 01.10.2006 | 1 | as is where is | immediately | |
111348 | KLA | P16 Plus | Profileometer | 200 mm | 01.06.2005 | 1 | as is where is | immediately | |
111604 | KLA | 0083729-000 REV AA | KLA SP1 Analog DF PCB | Spares | 1 | as is where is | immediately | ||
84216 | KLA | 740-100360-00 ORH | Mask Holder for 250 Mil x 6 inch masks for KLA 2xx reticle inspection system | Spares | 01.05.1992 | 1 | as is where is | immediately | |
84218 | KLA | 740-100059-00 GWH | Glass Wafer Holder, 250-150 mm P1, for KLA 2xx reticle inspection system | Spares | 01.05.1992 | 1 | as is where is | immediately | |
86672 | KLA Tencor | 710-661729-00 | PC Board, KLA 21XX | Spares | 1 | as is where is | immediately | ||
95117 | KLA - Tencor | 259 | Image Digitizer Assembly | SPARES | 2 | as is where is | immediately | ||
111395 | KLA -TENCOR | P-22H | Step Height Measurement Tool (Refurbished) | 1 | inquire | ||||
34117 | KLA -TENCOR | 7700 | CASSETTE PLATE + PCB 8" | SPARES | 01.09.1995 | 1 | immediately | ||
34126 | KLA -Tencor | 7700m (Spares) | Mirror Curved | SPARES | 1 | as is where is | immediately | ||
34137 | KLA -Tencor | 7700m | p/n 199958 Rev F PCB PSF Driver SFS75 | SPARES | 1 | as is where is | immediately | ||
83562 | KLA -TENCOR | 7700M (Spares) | CONCAVE MIRROR 201969 - Optical part from KLA 7700M Surfscan | Spares | 1 | as is where is | immediately | ||
113287 | KLA -TENCOR | 259 with RIA 2 | Reticle Inspection system with die to database computer | up to 7 inch | 01.03.1991 | 1 | inquire | immediately | |
83624 | KLA -Tencor | 8100 (Spares) | load lock assembly for CD-SEM | SPARES | 01.06.1998 | 1 | as is where is | immediately | |
108075 | KLA Tencor | 2131 | Wafer Defect Inspection | 150 mm | 1 | as is where is | |||
34140 | KLA TENCOR | 7700M | COMPUTER HARD DISK | 1 | as is where is | immediately | |||
83555 | KLA TENCOR | 720-05721000 | CONTROL CHASSIS FOR KLA 8100 Column Control Chassis | 2 | as is where is | immediately | |||
83572 | KLA TENCOR | 720-05887-000 | MCP DETECTOR CONTROL CHASSIS | SPARES | 01.02.1997 | 1 | as is where is | immediately | |
83574 | KLA TENCOR | 720-05887-000 | MCP DETECTOR CONTROL CHASSIS | 150 WATTS | 01.02.1997 | 1 | as is where is | immediately | |
83577 | KLA TENCOR | 7700 M | MODEL 2214-30SLOTT | D1,D2,D3 | 01.09.1998 | 1 | as is where is | immediately | |
31612 | KLA TENCOR | 8100 | PART 740 05584 000 C CONNECTOR INTERFACE | 3 | as is where is | ||||
83581 | KLA TENCOR | 720-05888-000 | TFE GUN CONTROLLER CHASSIS | 230 WATTS | 01.02.1997 | 1 | as is where is | immediately | |
108165 | KLA TENCOR | Surfscan 7200 | Surfscan wafer particle detection | 150 mm,200 mm | 01.06.1991 | 1 | as is where is | ||
108166 | KLA TENCOR | Surfscan 7200 | Surfscan wafer particle detection | 150 mm,200 mm | 01.06.1990 | 1 | as is where is | ||
83614 | KLA TENCOR | HA-200 | RADIATION POWER SYSTEMS INC. Mercury Lamp psu FOR KLA 2XX reticle inspection systems | SPARES | 1 | as is where is | immediately | ||
27808 | KLA TENCOR | 720-07335-000 | ADVANTECH COMPUTER ICP-6751 FOR KLA 81XX CD SEM | Spares | 1 | as is where is | immediately | ||
111524 | KLA TENCOR | SURFSCAN AIT | Surfscan Wafer particle Detection System (missing Parts) | 200 MM | 01.06.1999 | 1 | as is where is | immediately | |
21670 | KLA Tencor | 213780 REV C | PCB PFE 4K MASK ASSY SFS 7500 | SPARES | 01.02.1996 | 1 | inquire | immediately | |
21671 | KLA Tencor | 244143 REV B | PCB ADC PFE I/F 576 ASSY | SPARES | 01.02.1996 | 1 | inquire | immediately | |
106674 | KLA TENCOR | Viper 2430 | Macro Defect Inspection | 300 mm | 01.06.2004 | 1 | as is where is | immediately | |
106675 | KLA TENCOR | Viper 2435 | Macro Defect Inspection | 300 mm | 01.06.2004 | 1 | as is where is | ||
106676 | KLA TENCOR | Viper 2435XP | Macro Defect Inspection | 300 mm | 01.06.2004 | 1 | as is where is | ||
106678 | KLA TENCOR | CI T1X0 | package inspection system | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
83895 | KLA TENCOR | 7700M (Spares) | PIN DIODE PRE AMP PCB | SPARES | 31.05.1992 | 1 | as is where is | immediately | |
83898 | KLA TENCOR | 7700M (Spares) | Optical sub-assembly | SPARES | 1 | as is where is | immediately | ||
83899 | KLA TENCOR | 7700M (Spares) | Lens assembly | SPARES | 2 | as is where is | immediately | ||
83900 | KLA TENCOR | 7700 | Mechanical part | SPARES | 1 | as is where is | immediately | ||
32230 | KLA TENCOR | SFS6400 MECHANICAL CALIBRATION Document Number 238 | WAFERSURFACE ANALYSIS SYSTEM CUSTOMER MAINTENANCE REFERENCE | MANUAL | 1 | as is where is | immediately | ||
32231 | KLA TENCOR | Surfscan 64X0 Calibration Procedure | Surfscan 64X0 Calibration Procedure | MANUAL | 1 | as is where is | immediately | ||
32232 | KLA TENCOR | surfscan 64XX optical alignments Document Number 236 | WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE | MANUAL | 1 | as is where is | immediately | ||
32233 | KLA TENCOR | SFS6x00 MECHANICAL CALIBRATION Document number 200 | WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE | MANUAL | 1 | as is where is | immediately | ||
106481 | KLA Tencor | AIT I | Patterned Surface Inspection System | 150 mm/200 mm | 01.06.1995 | 1 | as is where is | immediately | |
27801 | KLA- TENCOR | 720-05721-000 | Column Control Chasis for KLA 8100 cd sem | SPARES | 3 | as is where is | immediately | ||
34149 | KLA-TENCOR | 242163 Rev B PCB ADC-PFE Interface S76 | PCB FOR KLA 7700M | spares | 1 | ||||
34116 | Kla-Tencor | AIT 1 | Network Card PCB | SPARES | 1 | as is where is | immediately | ||
84411 | KLA-Tencor | 7700M (Spares) | Electro-optical assembly for KLA Surfscan 7600 and 7700 | SPARES | 31.05.1995 | 1 | as is where is | immediately | |
109059 | KLA-Tencor | 289825A | Sony XC-711 Video Camera and cable set | SPARES | 1 | as is where is | immediately | ||
114438 | KLA-Tencor | Surfscan SP2 | Wafer Particle Measurement System | 200 MM AND 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
109598 | KLA-Tencor | Surfscan 5500 | Wafer Particle Detection | 200 mm | 1 | inquire | |||
103206 | KLA-Tencor | 5xxx Spare Part | LH Research Mighty Mite 500w Power Supply for KLA 5xxx Overlay Measurement System | Spares | 31.05.1995 | 1 | as is where is | immediately | |
113959 | KLA-Tencor | 6200 | Surfscan Particle Inspection Tool | 200 mm | 1 | inquire | |||
113960 | KLA-Tencor | 6420 | Surfscan Particle Inspection Tool | 200 mm | 1 | inquire | |||
113961 | KLA-Tencor | Alpha Step 200 | Automatic Step Profileometer | 1 | inquire | ||||
113962 | KLA-Tencor | Alpha Step 200 | Automatic Step Profileometer | 1 | inquire | ||||
91435 | KLA-Tencor | AIT | Particle Review | 200 mm | 31.05.1997 | 1 | as is where is | ||
113963 | KLA-Tencor | Alpha Step IQ | Automatic Step Profileometer | 1 | inquire | ||||
53035 | KLA-Tencor | 2132 (8 inch Wafer Chuck Assembly) | Ceramic Wafer chuck, 8" | spares | 1 | as is where is | immediately | ||
113964 | KLA-Tencor | Altair 8920 | Patterned Wafer Inspection System | 200/300 mm | 1 | inquire | |||
53036 | KLA-Tencor | Compumotor M575L11 | Stepping motor drive | spares | 1 | as is where is | immediately | ||
109613 | KLA-Tencor | AIT | Surfscan wafer particle detection system | 150 mm | 1 | inquire | |||
113965 | KLA-Tencor | Altair 8935 | Patterned Wafer Inspection System | Various | 1 | inquire | |||
113966 | KLA-Tencor | Archer AIM+ | Overlay Measurement | 200/300 mm | 1 | inquire | |||
113967 | KLA-Tencor | Archer XT+ | Overlay Measurement | 200/300 mm | 1 | inquire | immediately | ||
113968 | KLA-Tencor | FLX2320 | Wafer Stress measurement | 200 mm | 1 | as is all rebuilt | immediately | ||
113969 | KLA-Tencor | RS55TC | Prometrix Four Point Probe Resistivity Mapping System | 200 mm | 1 | inquire | |||
113970 | KLA-Tencor | UV-1280SE | Thin Film Measurement – Ellipsometer | 200 mm | 1 | inquire | |||
109107 | KLA-Tencor | PROMETRIX FT-650 | WAFER FILM THICKNESS MEASUREMENT SYSTEM | 01.06.1991 | 1 | as is where is | immediately | ||
113971 | KLA-Tencor | UV1050 | Thin Film Measurement System | 200 mm | 1 | inquire | |||
113216 | KLA-Tencor | Archer 500 | Overlay Measurement | 300 mm | 1 | as is where is | |||
111425 | KLA-Tencor | Archer 500 AIM | Overlay Measurement System | 300 mm | 01.12.2013 | 1 | as is where is | immediately | |
113217 | KLA-Tencor | ASET-F5X / Spectra CD 100 | Thin film measurement | 300 mm | 1 | as is where is | immediately | ||
111426 | KLA-Tencor | Archer 500 AIM | Overlay Measurement System | 300 mm | 01.08.2015 | 1 | as is where is | immediately | |
111429 | KLA-Tencor | VisEdge Cv300R | Wafer Edge Defect Inspection | 300 mm | 01.08.2010 | 1 | as is where is | immediately | |
76358 | KLA-Tencor | 710-039524-01, rev C | Alignment Processor 2 , 210e series PCB | Spares | 1 | as is where is | immediately | ||
91464 | KLA-Tencor | PROMETRIX FT750 | Film Thickness Measurement | 200 mm | 1 | as is where is | immediately | ||
91466 | KLA-Tencor | PROMETRIX FT750 | Film Thickness Measurement | 1 | as is where is | ||||
34125 | KLA-Tencor | 7700m (spares) | Mirror Assy Flat | spares | 1 | as is where is | immediately | ||
34127 | KLA-Tencor | 7700m (Spares) | p/n 186392A PCB Controller Handler | spares | 1 | as is where is | immediately | ||
34130 | KLA-tencor | 7700m (Spares) | 18458 Rev B $ CH Motor Control | SPARES | 1 | as is where is | immediately | ||
84054 | KLA-TENCOR | 8100 (Spares) | Motorized slit assembly for CD SEM | Spares | 2 | as is where is | immediately | ||
34134 | KLA-tencor | 7700m (Spares) | 210617 rev B PCB Filter Optical | spares | 1 | as is where is | immediately | ||
34136 | KLA-Tencor | 7700m (Spares) | p/n 099660 Handler back plane PCB | SPARES | 1 | as is where is | immediately | ||
4958 | KLA-Tencor | Roll-a-Lift | KIT 2135,2138,2230 MOVE | FACILITIES | 1 | as is where is | immediately | ||
4959 | KLA-Tencor | 655-650504-00 | 8 INCH CHUCK ASSY FOR KLA 2132 | 200 mm | 1 | as is where is | immediately | ||
83810 | KLA-Tencor | 7700M (Spares) | leadscrew and stepper motor for KLA Surscan 7700m, 7600 microscope | spares | 31.05.1997 | 1 | as is where is | immediately | |
34148 | KLA-Tencor | 7700m (Spares) | p/n 210595 Rev B Optical Filter PCB | spares | 1 | as is where is | immediately | ||
34150 | KLA-Tencor | 7700m | 242163 Rev B PCB ADC-PFE Interface S76 | spares | 1 | as is where is | immediately | ||
34152 | KLA-Tencor | 7700m (spares) | p/n 213780 Rev C PCB MASK SFS | spares | 1 | as is where is | immediately | ||
34153 | KLA-Tencor | 7700m (spares) | Fresnel Lens / Mirror | SPARES | 1 | as is where is | immediately | ||
34165 | KLA-TENCOR | 3005503 | OPTICAL ASSY for kla 7700 and 7600 surfscans | Spares | 1 | as is where is | immediately | ||
84086 | KLA-TENCOR | ASSY.CBL.GND | EATHING STRAP | 01.06.2000 | 14 | as is where is | immediately | ||
34166 | KLA-TENCOR | AIT 1 (SPARES) | Lens Assembly in transport box | SPARES | 1 | as is where is | immediately | ||
84087 | KLA-TENCOR | 7700M (Spares) | Mirror assembly for KLA 7700 m surfscan | Spares | 1 | as is where is | immediately | ||
84088 | KLA-TENCOR | 7700M (Spares) | Optical Lens assembly from a KLA 7700 M Surfscan | Spares | 1 | as is where is | immediately | ||
84089 | KLA-TENCOR | 7600M | ELECTRO-OPTICAL ACTUATOR ASSY | Spares | 28.02.1998 | 1 | as is where is | immediately | |
84091 | KLA-TENCOR | 113387 | 4-CHANNEL PWM MOTOR DRIVE ASSY PCB FOR KLA 7XXX SURFSCAN, P2 and P20 profilers | Spares | 31.05.1995 | 1 | as is where is | immediately | |
84092 | KLA-TENCOR | 655-6500504-00 | CERAMIC CHUCK 200 MM(8*),2132 | 200 mm | 31.05.1998 | 1 | as is where is | immediately | |
83837 | KLA-TENCOR | 7700M (Spares) | EMO Button for KLA 7700M | SPARES | 1 | as is where is | immediately | ||
84093 | KLA-TENCOR | 665-037138-00 | MICROSCOPE MASK FOR KLA 2XX RETICLE INSPECTION SYSTEM | Spares | 1 | as is where is | immediately | ||
31613 | KLA-Tencor | 8100 (Spares) | Bracked Lift Keyboard KLA Part 740-03393-000 | spares | 5 | as is where is | immediately | ||
114312 | KLA-Tencor | Archer-10 | Thin Film Measurement | 200 mm | 1 | as is where is | |||
114313 | KLA-Tencor | Auto SM300 | Wafer Surface Mapper | 200 mm | 1 | as is where is | |||
105866 | KLA-Tencor | Surfscan 7700m | Keyboard Assy | 1 | as is where is | immediately | |||
114314 | KLA-Tencor | Flexus 2320 | Wafer Stress Measurement | 200 mm | 01.04.2000 | 1 | as is where is | ||
114315 | KLA-Tencor | FT750 | Thin Film Measurement | 200 mm | 01.03.1996 | 1 | as is where is | ||
114316 | KLA-Tencor | TP500 | Implant Dose Measurement | 200 mm | 01.05.2000 | 1 | as is where is | ||
114317 | KLA-Tencor | UV-1050 (Prometrix) | 200 mm | 01.03.1996 | 1 | as is where is | |||
27790 | KLA-Tencor | 259 (Spare parts) | PCBs for reticle inspection system | 1 | as is where is | immediately | |||
74643 | KLA-Tencor | 710-013838-00 Rev L | PCB Universal Video Mux for KLA 2xx reticle inspection systems | spares | 01.06.1993 | 1 | as is where is | immediately | |
1691 | KLA-TENCOR | 259 (spare parts) | Reticle Inspection - SPARE PARTS | up to 7 inch | 01.12.1991 | 1 | inquire | immediately | |
27806 | KLA-Tencor | TFE Gun Controller | Gun Controller Chassis Part No 720-05888-000 | Spares | 2 | as is where is | immediately | ||
21667 | KLA-Tencor | JDS-Uniphase 2214-30 SLQ TT | LASER FOR KLA 7700 SURFSCAN | SPARES | 31.01.1998 | 1 | inquire | immediately | |
83621 | KLA-Tencor | 8100 (Spares) | Loadlock assembly for CD-SEM | 0,4-0,7 MPa | 31.05.1998 | 3 | as is where is | immediately | |
83622 | KLA-Tencor | 8100 (Spares) | Load-lock assembly for CD SEM | SWAGELOK 152086 | 01.06.2000 | 1 | as is where is | immediately | |
18598 | KLA-TENCOR | 5xxx Spare Parts | Set of Spare Parts from a KLA 5015 | 150 mm | 01.12.1990 | 1 | as is where is | immediately | |
83623 | KLA-Tencor | 8100 (Spares) | Load-lock assembly for CD SEM | spares | 01.06.2000 | 1 | as is where is | immediately | |
18599 | KLA-Tencor | 710-401249-01 Rev F | DRIVER BOARD for KLA 5xxx | SPARES | 31.05.1992 | 1 | as is where is | immediately | |
18600 | KLA-Tencor | 710-401249-01 Rev F | Driver Board for KLA 5xxx | Spares | 31.05.1992 | 1 | as is where is | immediately | |
18602 | KLA-Tencor | 750-40426.. 5xxx Spare Part | BIT 3 COMPUTER COP for 5xxx Spare Part | Spares | 31.05.1992 | 1 | as is where is | immediately | |
18603 | KLA-Tencor | 710-401536-00 FOR kla 5XXX | ASSY NO 401536 00 ENCODER INTERFACE | Spares | 31.05.1992 | 1 | as is where is | immediately | |
18604 | KLA-Tencor | 710-401249-00 REV E for kla 5xxx | Driver Board for KLA 5xxx | Spares | 31.05.1992 | 1 | as is where is | immediately | |
18605 | KLA-Tencor | 710-404146-00 REV A for KLA 5XXX | ASSY BOARD FOR KLA 5XXX | Spares | 31.05.1992 | 1 | as is where is | immediately | |
83630 | KLA-TENCOR | 8100 (Spares) | Load-lock assembly for CD SEM | spares | 01.12.2000 | 1 | as is where is | immediately | |
18606 | KLA-Tencor | 750-400159-00 REV A for KLA 5xxx | MATROX VIP 1024 for a KLA 5XXX overlay system | Spares | 31.05.1992 | 1 | as is where is | immediately | |
18607 | KLA-Tencor | 730-400083-00 REV G for a KLA 5xxx | PZT CONTROLLER for a KLA 5xxx system | Spares | 31.05.1992 | 1 | as is where is | immediately | |
18608 | KLA-Tencor | 712-404056-00 Rev B for a KLA 5xxx | ASSY BOARD for a KLA 5xxx overlay system | Rev. B | 31.05.1992 | 1 | as is where is | immediately | |
18609 | KLA-Tencor | 710-400412-00 Rev K | PCB for a KLA 5xxx system | sp | 31.05.1992 | 1 | as is where is | immediately | |
18610 | KLA-Tencor | 712-404056-00 Rev B for a KLA 5xxx | PCB for a KLA 5xxx system | Spares | 31.05.1992 | 1 | as is where is | immediately | |
18611 | KLA-Tencor | 750-400339-00 Rev H from a KLA 5xxx | PCB for a KLA 5xxx system | Spares | 01.05.1992 | 1 | as is where is | immediately | |
18612 | KLA-Tencor | 073-401-320 for a kla 5xxx | AIRLOK PCB for a KLA 5xxx system | SPARES | 31.05.1992 | 1 | as is where is | immediately | |
18871 | KLA-TENCOR | 546860-27 | Operation manual | 1 | as is where is | ||||
52151 | KLA-Tencor | Hamamatsu R1924A | Photomultiplier | spares | 01.03.2007 | 1 | as is where is | immediately | |
83896 | KLA-TENCOR | Pittman 9433F528 | Servo Drive motor for KLA 7700 Surfscan | SPARES | 1 | as is where is | immediately | ||
18872 | KLA-TENCOR | 563234-27 | Reference manual | 1 | as is where is | ||||
83897 | KLA-Tencor | 7700M (Spares) | 8 inch ceramic chuck table for KLA 7700M | SPARES | 01.08.1995 | 1 | as is where is | immediately | |
18873 | KLA-TENCOR | 990-039410-00 | 210 e-series theory | 1 | as is where is | ||||
84409 | KLA-Tencor | AIT-1 SHIPPING KIT | AIT-1 SHIPPING KIT | SPARES | 1 | as is where is | immediately | ||
113081 | KLA-TENCOR | CI T1X0 | PACKAGE INSPECTION SYSTEM | N/A | 1 | as is where is | immediately | ||
18874 | KLA-TENCOR | 905-664046-000 | 25x2 analysis workstation | 1 | as is where is | ||||
84410 | KLA-TENCOR | 7700M | SENSOR OPTICAL | SPARES | 1 | as is where is | immediately | ||
83643 | KLA-Tencor | RIBBON CABLE | SPARES | 2 | as is where is | immediately | |||
18875 | KLA-TENCOR | 563226-27 | Software version 5.0 manual | 1 | as is where is | ||||
113083 | KLA-TENCOR | 2132 (mainbody only) | Wafer Inspection System | 150 mm,200 mm | 01.06.1995 | 1 | as is where is | ||
18876 | KLA-TENCOR | 546879-27 | Software version 4.1 manual | 1 | as is where is | ||||
113084 | KLA-TENCOR | AIT I | Surfscan Wafer Inspection | 150 mm,200 mm | 01.06.1997 | 1 | as is where is | ||
83645 | KLA-Tencor | 2xx (SPARES) | Control Paddles for KLA 2xx reticle inspection system | Spares | 01.06.1992 | 1 | as is where is | immediately | |
83901 | KLA-Tencor | 655-03737-00 Rev XA | Mechanical part for a KLA 7700 M (Surfscan) | SPARES | 1 | as is where is | immediately | ||
113085 | KLA-TENCOR | P-12 | Profileometer | 150 mm,200 mm | 1 | as is where is | |||
83902 | KLA-Tencor | 5xxx Spare Part | Lambda Electronics LFS-47-48 REGULATED POWER SUPPLY | Spares | 1 | as is where is | immediately | ||
18622 | KLA-Tencor | 750-404260 for KLA 5XXX | BIT 3 COMPUTER COP for 5xxx Spare Part | Spares | 31.05.1992 | 1 | as is where is | immediately | |
113086 | KLA-TENCOR | P-2 | Profileometer | 150 mm,200 mm | 01.06.1996 | 1 | as is where is | immediately | |
103366 | KLA-TENCOR | 7700M (Spares) | Robot Arm, for up to 8 inch wafers, for KLA 7700 M | Spares | 31.05.1993 | 1 | as is where is | immediately | |
111559 | KLA-Tencor | Archer 300+ AIM | Overlay Measurement System | 300 mm | 01.06.2012 | 2 | as is where is | immediately | |
18632 | KLA-Tencor | 073-401-320 for a kla 5xxx | AIRLOK PCB for a KLA 5xxx system | Spares | 31.05.1992 | 1 | as is where is | immediately | |
18634 | KLA-Tencor | POWER SUPPLY LAMBDA | Rev. A | 01.06.1992 | 1 | as is where is | |||
18635 | KLA-Tencor | 851391-101 | LH RESEARCH | REV.B | 01.06.1992 | 1 | as is where is | ||
83918 | KLA-TENCOR | 7700M (Spares) | Adjustable Opto Mechanical assembly for KLA 7700 Surfscan | SPARES | 1 | as is where is | immediately | ||
71632 | KLA-TENCOR | 2122 | Brightfield Wafer Defect Inspection System | 200 mm | 01.04.1996 | 1 | as is where is | immediately | |
108760 | KLA-Tencor | Surfscan 4500 | Wafer Particle Inspection System | 2 to 6 inch | 01.05.1986 | 1 | as is where is | immediately | |
83929 | KLA-TENCOR | 720-02847-000 | MCA Module for KLA 81xx CD SEM | spares | 31.05.1998 | 1 | as is where is | immediately | |
83930 | KLA-TENCOR | 720-02964-000B | PICOAMP II for KLA 81xx CD SEM | SPARES | 2 | as is where is | immediately | ||
83932 | KLA-TENCOR | 195430 rev B | Detector Assembly for a KLA 7700M Surfscan | SPARES | 30.11.1985 | 1 | as is where is | immediately | |
96998 | KLA-Tencor | Surfscan AIT | Patterned Wafer Inspection | 200 mm | 01.05.1997 | 1 | as is where is | immediately | |
53227 | KLA-Tencor | 251739 | CH3 PMT OPTICS ASSY AIT2 | SPARES | 01.12.1989 | 1 | as is where is | immediately | |
84215 | KLA-TENCOR | 7700M (Spares) | AT GPIB IEE 488.2 Interface PCB for a KLA 7700 M | Spares | 01.05.1993 | 1 | as is where is | immediately | |
84217 | KLA-TENCOR | 740-210171-00 | Mask Holder for 5 inch x 0.090 thickness masks, with compensation glass, KLA 2XX | spares | 01.05.1992 | 1 | as is where is | immediately | |
84219 | KLA-TENCOR | 740-210171-00 Rev C OHR | Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system | spares | 01.05.1992 | 1 | as is where is | immediately | |
84220 | KLA-TENCOR | 253537 Rev A | Microscope Distribution PCB, for Surfscan 7600 and 7700 series | Spares | 1 | as is where is | immediately | ||
35971 | KLA-Tencor Corp. | 720-05888-000 | Electron gun controller for KLA 8100 | spares | 01.06.1998 | 2 | as is where is | immediately | |
80185 | KNF Neuberger | 057359 | Diphagrahm service kit for N40.3FT pump | 01.01.2012 | 2 | as is where is | immediately | ||
74239 | KNF NEUBERGER | N 840.3 FT.18 | DIAPHRAGM VACUUM PUMP LABOPORT D-79112 | spares | 01.02.2006 | 1 | as is where is | immediately | |
103386 | KNIEL System | CPD 5.12/6.3 | Power Supply, 321-019-02.00 | Spares | 30.04.2001 | 2 | as is where is | immediately | |
84224 | KOGANEI | ORCA 16X120 | Slit type rodless cylinder | Spares | 1 | as is where is | immediately | ||
84258 | KOGANEI | SLIM | AIR CYLINDER | 4 | as is where is | immediately | |||
84261 | KOGANEI | PDA S | AIR CYLINDER | 2 | as is where is | immediately | |||
84265 | KOGANEI | KA CMA | AIR CYLINDER | 1 | as is where is | immediately | |||
84266 | KOGANEI | TWDA | AIR CYLINDER | 1 | as is where is | immediately | |||
84270 | KOGANEI | SLIM | AIR CYLINDER | 2 | as is where is | immediately | |||
83846 | KOGANEI | JDAS32X5-165W | AIR CYLINDER | Spares | 2 | as is where is | immediately | ||
83873 | KOGANEI | BDAS10X30 | SPARES | 2 | as is where is | immediately | |||
83880 | KOGANEI | AME07-E2-PSL | VACUUM EJECTOR | 8 | as is where is | immediately | |||
83891 | KOGANEI | A200-4E1 | AIR VALVE | 2 | as is where is | immediately | |||
84223 | KOGANEI | ORCA 16X120 | Slit type rodless cylinder | Spares | 3 | as is where is | immediately | ||
84055 | KOGANEI LTD | KA.CMA | MINI CYLINDER | 3 | as is where is | immediately | |||
84056 | KOGANEI LTD | KA.CMA | MINI-CYLINDER | 1 | as is where is | immediately | |||
84057 | KOGANEI LTD | KA.CMA | MINI-CYLINDER | 1 | as is where is | immediately | |||
108570 | Kokusai | DJ-1206VN | Vertical Furnace | 300 mm | 01.05.2007 | 1 | as is where is | immediately | |
90149 | Kokusai | DD-1223 V-DF | Vertical Furnace, Wet Oxidation | 300 mm | 01.06.2013 | 1 | as is where is | immediately | |
108837 | KOKUSAI | VR70 | Resistivity Test Tool | 1 | inquire | ||||
109108 | Kokusai | DJ-1236VN-DF | Vertical Low-Pressure CVD System | 300 mm | 01.01.2016 | 1 | as is where is | immediately | |
110667 | Kokusai | DD-1206VN-DF | Vertical Furnace, PYRO Process | 300 mm | 01.06.2005 | 1 | inquire | immediately | |
112223 | Kokusai | DD-823V | Furnace Vertical Diffusion Furnace / Many major parts MISSING such as 1) Drivers 2) Cassette axis missing / and also cables are disconnected | 200 mm | 1 | as is where is | |||
112224 | Kokusai | RAM-8500ZX | Asher | 200 mm | 01.06.1996 | 1 | as is where is | ||
112225 | Kokusai | VR-120SD | Metrology Resistivity Measurement | 300 mm | 1 | as is where is | |||
110706 | Kokusai | DD-1206VN-DF | Vertical Furnace, PYRO Process | 300 mm | 01.09.2005 | 1 | inquire | immediately | |
111776 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300mm | 1 | as is where is | |||
111777 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300mm | 1 | as is where is | |||
111780 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300mm | 1 | as is where is | |||
111783 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300mm | 1 | as is where is | |||
111787 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300mm | 1 | as is where is | |||
111793 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300mm | 1 | as is where is | |||
111801 | Kokusai | Quixace Ultimate ALD SiN | Vertical Furnace | 300mm | 1 | as is where is | |||
111804 | Kokusai | Quixace Ultimate ALD SiN | Vertical Furnace | 300mm | 1 | as is where is | |||
111807 | Kokusai | Vertron-V(S2) DD-835V | Vertical Furnace | 200mm | 1 | as is where is | |||
113089 | KOKUSAI | VR120_SD | Resistivity Test System | 300 mm | 01.06.2007 | 1 | as is where is | ||
114119 | Kokusai | Quixace II ALD Nitride | Vertical Furnace | 300 mm | 1 | as is where is | |||
114120 | Kokusai | Quixace II ALD Nitride | Vertical Furnace | 300 mm | 1 | as is where is | |||
114121 | Kokusai | Quixace II Anneal | Vertical Furnace | 300 mm | 1 | as is where is | |||
114122 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300 mm | 1 | as is where is | |||
114123 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300 mm | 1 | as is where is | |||
114124 | Kokusai | Quixace II Doped Poly | Vertical Furnace | 300 mm | 1 | as is where is | |||
114125 | Kokusai | Quixace II Nitride | Vertical Furnace | 300 mm | 1 | as is where is | |||
114126 | Kokusai | Quixace II Nitride | Vertical Furnace | 300 mm | 1 | as is where is | |||
114127 | Kokusai | Quixace II Poly | Vertical Furnace | 300 mm | 1 | as is where is | |||
114128 | Kokusai | Quixace Ultimate TEOS | Vertical Furnace | 300 mm | 1 | as is where is | |||
114129 | Kokusai | Quixace Ultimate TEOS | Vertical Furnace | 300 mm | 1 | as is where is | |||
114130 | Kokusai | Lambda-300LE | Stripper/Asher | 300 mm | 1 | as is where is | |||
114131 | Kokusai | Lambda-300N | Stripper/Asher | 300 mm | 1 | as is where is | |||
83931 | KOKUSAI | M 152 WRL | THERMO COUPLE | 11 | as is where is | immediately | |||
109026 | Kokusai | DJ-853V-8BL J3 | VERTICAL CVD FURNACE, NITRIDE PROCESS | 150 mm | 01.06.2000 | 1 | as is all rebuilt | immediately | |
108006 | Kokusai | DD-823V | VERTICAL CVD FURNACE, H2 ANNEAL PROCESS | 200 mm | 2 | as is where is | immediately | ||
108007 | Kokusai | DJ-853V-8BL J2 | VERTICAL CVD FURNACE, HTO PROCESS | 200 mm | 01.09.2000 | 1 | as is where is | immediately | |
114437 | Koyo | VF3000B | Thermal Oxidation VCSEL-process dedicated furnace | 4 to 8 inch | 01.06.2010 | 1 | as is where is | immediately | |
111809 | Koyo | VF-5100 | Vertical Furnace | 200mm | 1 | as is where is | |||
111810 | Koyo | VF-5100 | Vertical Furnace | 200mm | 1 | as is where is | |||
84773 | KOYO LINBERG | VF5100B | Set of Cleanroom Manuals | spares | 31.05.1998 | 1 | as is where is | immediately | |
106895 | KTC | BT-30 | Die and ball shear tester | 1 | as is where is | ||||
112779 | Kulicke & Soffa | 4124 | Manual Ball Bonder | ASSEMBLY | 1 | as is where is | |||
112780 | Kulicke & Soffa | 4526 | Analog Manual Wedge Bonder - Leica GZ6 Microscope | ASSEMBLY | 1 | as is where is | |||
112781 | Kulicke & Soffa | 4526 | Analog Manual Wedge Bonder - Leica MZ6 Microscope | ASSEMBLY | 1 | as is where is | |||
112782 | Kulicke & Soffa | 4524D | Manual Ball Bonder | ASSEMBLY | 1 | as is where is | |||
84228 | Kurt J Lesker | ISO160AVCRT | Pump centering ring | Spares | 2 | as is where is | immediately | ||
84229 | Kurt J Lesker | ISO100AVCRT | Pump centering ring | Spares | 2 | as is where is | immediately | ||
84230 | Kurt J Lesker | ISO Flange ISO63, 5-hole, *NEW* | 5 hole pump flange | Spares | 2 | as is where is | immediately | ||
84282 | Kurt J Lesker | QF-SSC-ALM | Single claw clamp | Spares | 8 | as is where is | immediately | ||
84212 | Kurt J Lesker | QF160-SAVR | Pump centering ring | Spares | 2 | as is where is | immediately | ||
110325 | Kurt J. Lesker | AT3 | Match | SPARES | 1 | inquire | |||
114531 | KWONSYS | KWONSYS | VISION INSPECTION | Assembly | 1 | as is where is | |||
109436 | KYOSAN | 15Z-S1 | RF GENERATOR | Spares | 1 | as is where is | |||
109437 | KYOSAN | 15ZI-M | RF GENERATOR | Spares | 2 | as is where is | |||
109438 | KYOSAN | HPK06ZI-TE7-SINGLE | RF GENERATOR | Spares | 17 | as is where is | |||
109439 | KYOSAN | HPK15ZD | RF GENERATOR | Spares | 1 | as is where is | |||
109440 | KYOSAN | JFK85TH-TC6 | RF GENERATOR | Spares | 20 | as is where is | |||
108761 | LABCONCO | Protector | Laboratory Fume Hood and Cabinet with Sink | Laboratory | 1 | as is where is | immediately | ||
112226 | Lam | 2300 Exelan Flex 4 chamber | Dielectric Etch, V2, with 4 chambers | 300 mm | 01.06.2006 | 1 | as is where is | ||
112227 | Lam | 2300 Flex EX 3 chamber | Oxide etcher, V2 platform, with 3 chambers | 300 mm | 01.06.2010 | 1 | as is where is | ||
112228 | Lam | 2300 Kiyo 4 chamber | Etch Poly – 4 Kiyo CX chambers | 300 mm | 01.06.2007 | 1 | as is where is | ||
112232 | Lam | EOS | WET Single Cleaning | 300 mm | 01.06.2016 | 1 | as is where is | ||
112233 | Lam | EOS | WET Single Cleaning | 300 mm | 01.06.2013 | 1 | as is where is | ||
112234 | Lam | Rainbow 4420XL | Etch Poly | 150 mm, 200 mm | 01.06.1994 | 1 | as is where is | ||
106874 | Lam | 2300 Exelan Flex | Dry Etcher with 3 chambers | 300 mm | 01.07.2004 | 1 | as is where is | immediately | |
109441 | LAM | 832-038915-103 | RF GENERATOR | Spares | 1 | as is where is | |||
109442 | LAM | 832-038915-203 | RF GENERATOR | Spares | 1 | as is where is | |||
109443 | LAM | 853-040482-502 | RF GENERATOR | Spares | 1 | as is where is | |||
109444 | LAM | 853-040482-600 | RF GENERATOR | Spares | 1 | as is where is | |||
109445 | LAM | 853-085372-114 | RF GENERATOR | Spares | 1 | as is where is | |||
109446 | LAM | 853-085375-015 | RF GENERATOR | Spares | 1 | as is where is | |||
113803 | LAM | Avangard 776 | TF CMP Oxide POLISH OX | 150 mm | 01.06.1996 | 1 | as is where is | ||
113804 | LAM | Avangard 776 | TF CMP Oxide POLISH OX | 150 mm | 01.06.1996 | 1 | as is where is | ||
113805 | LAM | Avangard 776 | TF CMP Tungsten POLISH W | 150 mm | 01.06.1996 | 1 | as is where is | ||
113806 | LAM | 4400 | Poly Etcher | 150 mm | 01.06.1994 | 1 | as is where is | ||
113807 | LAM | 4400 | Poly Etcher | 150 mm | 01.06.1994 | 1 | as is where is | ||
113808 | LAM | 4420 | Poly Etcher | 150 mm | 01.06.1994 | 1 | as is where is | ||
113809 | LAM | 4420 | Poly Etcher | 150 mm | 01.06.1994 | 1 | as is where is | ||
113810 | LAM | 4420 | Poly Etcher | 150 mm | 01.06.1994 | 1 | as is where is | ||
113811 | LAM | 4500 | Oxide Etcher | 150 mm | 01.06.1993 | 1 | as is where is | ||
113812 | LAM | 4500 | Oxide Etcher | 150 mm | 01.06.1993 | 1 | as is where is | ||
113813 | LAM | 4500 | Oxide Etcher | 150 mm | 01.06.1993 | 1 | as is where is | ||
113814 | LAM | 4520 | Oxide Etcher | 150 mm | 01.06.1993 | 1 | as is where is | ||
113815 | LAM | 4520 | Oxide Etcher | 150 mm | 01.06.1993 | 1 | as is where is | ||
113816 | LAM | 4520 | Oxide Etcher | 150 mm | 01.06.1993 | 1 | as is where is | ||
113817 | LAM | 4520 | Oxide Etcher | 150 mm | 01.06.1993 | 1 | as is where is | ||
113818 | LAM | 4520i | Oxide Etcher (Isotropic) | 150 mm | 01.06.1995 | 1 | as is where is | ||
113819 | LAM | 4520i | Oxide Etcher (Isotropic) | 150 mm | 01.06.1995 | 1 | as is where is | ||
113820 | LAM | 4520i | Oxide Etcher (Isotropic) | 150 mm | 01.06.1995 | 1 | as is where is | ||
113821 | LAM | 9400 SE | Poly Etcher | 150 mm | 01.06.1994 | 1 | as is where is | ||
113822 | LAM | 9400 SE | Poly Etcher | 150 mm | 01.06.1994 | 1 | as is where is | ||
113823 | LAM | 9600 SE | Metal etcher – fitted with ESC | 150 mm | 01.06.1993 | 1 | as is where is | ||
113824 | LAM | 9600 SE | Metal etcher – fitted with ESC | 150 mm | 01.06.1993 | 1 | as is where is | ||
113825 | LAM | 9600 SE | Metal etcher – fitted with ESC | 150 mm | 01.06.1993 | 1 | as is where is | ||
113826 | LAM | 9600 SE | Metal etcher – fitted with ESC | 150 mm | 01.06.1993 | 1 | as is where is | ||
113827 | LAM | 9600 SE | Metal etcher – fitted with ESC | 150 mm | 01.06.1993 | 1 | as is where is | ||
113828 | LAM | 9600 SE | Metal etcher – fitted with ESC | 150 mm | 01.06.1993 | 1 | as is where is | ||
113831 | LAM | DSS-200 | WET ETCH WET Chemical Clean POST CMP CLEANER | 150 mm | 01.06.1996 | 1 | as is where is | ||
113832 | LAM | Novellus C1 | TF BPSG - SACVD BPSG | 150 mm | 01.06.1995 | 1 | as is where is | ||
113833 | LAM | Novellus C1 | TF BPSG - SACVD BPSG | 150 mm | 01.06.1995 | 1 | as is where is | ||
113834 | LAM | Novellus C1 | TF BPSG - SACVD BPSG | 150 mm | 01.06.1995 | 1 | as is where is | ||
113835 | LAM | SYNERGY | TF WET Chemical Clean CLEANER | 150 mm | 1 | as is where is | |||
113836 | LAM | SYNERGY | TF WET Chemical Clean CLEANER | 150 mm | 1 | as is where is | |||
113090 | LAM | TORUS300K | DRY Bevel Etcher | 300 mm | 01.06.2006 | 1 | as is where is | ||
106691 | LAM | 2300 CHAMBER ONLY | MWAVE STRIP (POLY) | 300 mm | 01.06.2010 | 1 | as is where is | ||
106695 | LAM | 2300 CHAMBER ONLY | MWAVE STRIP (POLY) | 300 mm | 01.06.2014 | 1 | as is where is | ||
106696 | LAM | 2300 FX EX+ CHAMBER ONLY | PLASMA OXIDE ETCH | 300 mm | 01.06.2013 | 1 | as is where is | immediately | |
106697 | LAM | 2300 MWAVE STRPR CHAMBER | MWAVE STRIP (POLY) | 300 mm | 01.06.2018 | 1 | as is where is | ||
106698 | LAM | ALTUS | CVD | 300 mm | 01.06.2009 | 1 | as is where is | ||
106699 | LAM | FLEX FX CHAMBER ONLY | PLASMA OXIDE ETCH | 300 mm | 01.06.2013 | 1 | as is where is | ||
106701 | LAM | TORUS300K | DRY Bevel Etcher | 300 mm | 01.06.2006 | 1 | as is where is | ||
110326 | Lam | 6 inch RF Coil | Miscellaneous | SPARES | 1 | inquire | |||
110327 | Lam | 9500 | Match | SPARES | 1 | inquire | |||
110328 | Lam | 9500 | Match | SPARES | 1 | inquire | |||
110329 | Lam | Controller | Miscellaneous | SPARES | 1 | inquire | |||
110330 | Lam | Controller | Miscellaneous | SPARES | 1 | inquire | |||
110331 | Lam | Kiyo Poly Match | Match | SPARES | 1 | inquire | |||
110332 | Lam | Research Match | Match | SPARES | 1 | inquire | |||
110333 | Lam | TCP Upper Match | Match | SPARES | 1 | inquire | |||
110334 | Lam | TCP Upper Match | Match | SPARES | 1 | inquire | |||
110335 | Lam | Versys Poly match | Match | SPARES | 1 | inquire | |||
108446 | LAM / SEZ | SP304 | Single Wafer Processing | 300 mm | 1 | as is where is | |||
108447 | LAM / SEZ | SP4300 | Single Wafer Processing | 1 | as is where is | ||||
112601 | LAM / SEZ | SP 223 | Back-side wafer cleaning tool | 200 mm | 01.06.2000 | 1 | as is where is | immediately | |
106240 | Lam Research | 853-011168-001 Rev C | Surge Protector, Gap Drive Motor Assy | Spares | 01.11.1997 | 1 | as is where is | immediately | |
108038 | LAM RESEARCH | Spare Parts | Various Spare Parts for sale | Spares | 1 | as is where is | immediately | ||
111370 | LAM Research | 2300 Exelan Flex 45 | Etcher Asher | 12" / 300mm ICP | 1 | as is where is | immediately | ||
111371 | LAM Research | 2300 Strip 45 | 1 | as is where is | immediately | ||||
108572 | LAM RESEARCH | INOVA | PVD | 300mm | 01.06.2004 | 1 | as is where is | immediately | |
108573 | LAM RESEARCH | INOVA | PVD | 300mm | 01.06.2010 | 1 | as is where is | immediately | |
108574 | LAM RESEARCH | STRATA-3 | CVD | 300mm | 01.06.2021 | 1 | as is where is | immediately | |
108577 | LAM RESEARCH | Vector Express | CVD | 300 mm | 01.06.2003 | 1 | as is where is | immediately | |
100919 | LAM Research | ALTUS | CVD System | 300 mm | 31.05.2001 | 1 | as is where is | ||
100920 | LAM Research | ALTUS | CVD System | 300 mm | 31.05.2010 | 1 | as is where is | ||
100921 | LAM Research | ALTUS | CVD System | 300 mm | 31.05.2003 | 1 | as is where is | ||
106307 | Lam Research | Vector Express AHM | PECVD | 300 mm | 01.06.2011 | 1 | as is where is | immediately | |
113228 | LAM Research | 2300 KIYO EX | Poly Etcher | 300 mm | 1 | as is where is | |||
113229 | LAM Research | 2300 KIYO EX | Poly Etcher | 300 mm | 1 | as is where is | |||
113234 | LAM Research | 2300e4 KIYO MCX | Dry Etch | 300 mm | 1 | as is where is | |||
113236 | LAM Research | 2300e5 Exelan Flex FX | Oxide Etcher | 300 mm | 1 | as is where is | |||
113237 | LAM Research | 2300e5 Exelan Flex FX | Oxide Etcher | 300 mm | 1 | as is where is | |||
114028 | LAM Research | 2300 EXELAN | FLEX 4CH Silicon Etcher | 300 MM | 01.06.2005 | 1 | as is where is | ||
114029 | LAM Research | C3 SPEED MAX (LITE) | STI cvd 3CH | 300 MM | 01.06.2005 | 1 | as is where is | ||
113536 | Lam Research | 4506I | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
113537 | Lam Research | 4506I | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | immediately | |
113538 | Lam Research | 4526I | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
105861 | Lam Research | 853-495477-001 Rev B | Cable | Spares | 30.11.2000 | 4 | as is where is | immediately | |
105862 | Lam Research | 853-017410-003 REV A | Assy. cable.LWR match,Pk det. | Spares | 30.11.2000 | 5 | as is where is | immediately | |
108167 | LAM Research | 2300 MWAVE STRPR | Chamber only | 300 mm | 01.06.2012 | 1 | as is where is | ||
110728 | LAM Research | 2300 | Dry etch cluster tool Mainframe without chambers | 200 MM | 01.06.2008 | 1 | as is where is | immediately | |
113545 | Lam Research | 4526XL | Plasma Etch | 150 mm | 01.06.2000 | 1 | as is where is | immediately | |
113547 | Lam Research | 4528I | Plasma Etch | 200 mm | 1 | as is where is | |||
113548 | Lam Research | 4528XL | Plasma Etch | 200 mm | 1 | as is where is | |||
110998 | Lam Research | 857-073710-002 | KIT,SHIM,CLAMP - FLEX45/DS/DX | Spares | 5 | inquire | |||
109207 | LAM Research | 2300 Exelan Flex FX - Chamber Only | Dielectric Etch | 300mm | 1 | as is where is | |||
110999 | Lam Research | 857-027108-001 | KIT,CHOKE RING - FLEX45/DS/DX | Spares | 5 | inquire | |||
113559 | Lam Research | TCP 9600SE II standalone with DSQ | Plasma Etch | 150 mm | 01.06.2005 | 1 | as is where is | ||
111000 | Lam Research | 857-027108-100 | KIT,CHOKE RING,TCP TO TP FLD SERIES - FLEX ES/EX+ | Spares | 5 | inquire | |||
84377 | Lam Research | 810-06526-000 | ASSY.CBL.EF CONTROL.SNIPER | Spares | 31.05.2001 | 1 | as is where is | immediately | |
111001 | Lam Research | 857-120208-010 | KIT GSKT THRM TP TO CHOKE - FLEX FX | Spares | 5 | inquire | |||
111002 | Lam Research | 857-120209-009 | KIT GSKT THRM CHOKE TO HTR - FLEX FX | Spares | 5 | inquire | immediately | ||
111003 | Lam Research | 857-120209-305 | KIT GSKT THRM CHOKE - FLEX HX | Spares | 5 | inquire | immediately | ||
111004 | Lam Research | 857-120210-008 | KIT GSKT THRM HTR TO BP - FLEX FX | Spares | 5 | inquire | immediately | ||
111005 | Lam Research | 857-120210-302 | KIT GSKT THRM CHOKE - FLEX HX | Spares | 5 | inquire | immediately | ||
111006 | Lam Research | 713-066269-002 | FIT RING GAST GUARD ABE - ABE | Spares | 5 | inquire | immediately | ||
111007 | Lam Research | 713-069935-003 | GSKT GUARD, OUTER ELECTD(TCP) - FLEX ES/EX+ | Spares | 5 | inquire | immediately | ||
108704 | Lam Research | 490 Autoetch | Polysilicon Dry Etcher | 150 mm | 01.06.1990 | 3 | inquire | immediately | |
111008 | Lam Research | 713-072792-003 | GSKT, GUARD, OUTER ELECTD - FLEX45/DS/DX | Spares | 5 | inquire | immediately | ||
111009 | Lam Research | 713-073439-010 | RING, HER, FLEX45 - FLEX45 | Spares | 5 | inquire | immediately | ||
111010 | Lam Research | 410-01754-026-RW | KIT, THERMAL SHIM, CIP1 - CIP1 | Spares | 5 | inquire | immediately | ||
111011 | Lam Research | 714-072727-048 | GASKET(Q310-575924), FLEX/DS - FLEX45/DD/DS/DX | Spares | 5 | inquire | immediately | ||
111012 | Lam Research | 857-065064-004 | KIT, GASKET, ABE - ABE | Spares | 5 | inquire | immediately | ||
111013 | Lam Research | 857-072806-005 | KIT, GASKET, ELCTD - FLEX45/DS/DX | Spares | 5 | inquire | immediately | ||
111525 | LAM Research | STRATA-GX | CVD Cluster tool | 300 mm | 01.06.2016 | 1 | as is where is | immediately | |
111014 | Lam Research | 857-073299-001 | KIT, GASKET, TOP ELCTD - FLEX45/DS/DX | Spares | 5 | inquire | 6 months | ||
111015 | Lam Research | 857-073299-002 | TCP Q-PAD KIT - FLEX ES/EX+ | Spares | 5 | inquire | immediately | ||
102568 | LAM Research | TORUS 300K | Dry Etch | 2 | inquire | ||||
111016 | Lam Research | 857-085550-026 | ELECTRODE GASKET KIT FOR FLEX EX+ | Spares | 5 | inquire | immediately | ||
102569 | LAM Research | TORUS 300S | Dry Etch | 2 | inquire | ||||
111017 | Lam Research | 857-085550-046 | KIT GSKT ELECTD - FLEX GX/GXE | Spares | 5 | inquire | immediately | ||
111018 | Lam Research | 857-085550-822 | KIT GSKT ELECTD - FLEX FX | Spares | 5 | inquire | immediately | ||
111019 | Lam Research | 719-078253-060 | RING GASKET, GEL, CER 509 - FLEX FX | Spares | 5 | inquire | immediately | ||
111020 | Lam Research | 719-078253-103 | PKG, GASKET, GEL, CER, WIDE - FLEX FXP | Spares | 5 | inquire | immediately | ||
111021 | Lam Research | 713-072728-130 | RING, HTSK, ESC/CPLNG, 300MM, SP - FLEX EX | Spares | 5 | inquire | immediately | ||
111022 | Lam Research | 714-072728-117 | HEAT SINK R - FLEX EX+ | Spares | 5 | inquire | immediately | ||
111023 | Lam Research | 839-086924-050/839-086924-021 | INNER STUD SOCKETS - Flex DX/FX | Spares | 5 | inquire | immediately | ||
111024 | Lam Research | 839-086924-051/839-086924-025 | OUTER STUD SOCKETS - Flex DX/FX | Spares | 5 | inquire | |||
111025 | Lam Research | 839-086924-052 | C-Shroud ring STUD SOCKETS - Flex DX/FX | Spares | 5 | inquire | immediately | ||
111026 | Lam Research | 716-069688-005 | ELCTD,INR,SI,300MM - 2300 Flex | Spares | 5 | inquire | |||
111027 | Lam Research | 716-082039-001 | ELCTD,OUTER, .18 STEP, 300MM - 2300 Flex | Spares | 5 | inquire | |||
111028 | Lam Research | 716-023013-037 | RING,HE, 38X ESC,RND, SGL XTL, 300MM - 2300 Flex | Spares | 5 | inquire | |||
111029 | Lam Research | 716-017112-533 | RING,WAP,17.07X1.4THK - 2300 Flex | Spares | 5 | inquire | |||
111541 | Lam Research | Vector (Parts) | Hub Only | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
111030 | Lam Research | 716-014843-303 | RING,WAP,QTZ,GENERIC,17.07ID,300MM - 2300 Flex | Spares | 5 | inquire | |||
111031 | Lam Research | 716-013402-008 | COV,H/E RING,QTZ - 2300 Flex | Spares | 5 | inquire | |||
111032 | Lam Research | 716-018468-092 | RING,COVER,OUTER,GND - 2300 Flex | Spares | 5 | inquire | |||
111033 | Lam Research | 716-014843-360 | RING,WAP,QTZ,GENERIC,17.07ID,300 - 2300 Flex | Spares | 5 | inquire | |||
111034 | Lam Research | 716-013402-063 | COV,H/E RING,QTZ - 2300 Flex | Spares | 5 | inquire | |||
111035 | Lam Research | 716-801667-003 | WIN, QTZ - 2300 Flex | Spares | 5 | inquire | |||
111036 | Lam Research | 716-801568-002 | WIN, QTZ - 2300 Flex | Spares | 5 | inquire | |||
111037 | Lam Research | 716-012640-011 | WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Star-T | Spares | 5 | inquire | |||
111038 | Lam Research | 716-012639-024 | NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Star-T | Spares | 5 | inquire | |||
111039 | Lam Research | 716-026652-902 | RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T | Spares | 5 | inquire | |||
111040 | Lam Research | 716-026652-922 | RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T | Spares | 5 | inquire | |||
111042 | Lam Research | 716-031257-561 | RING,EDGE,TOP,QTZ,EBP,300MM - 2300 Star-T | Spares | 5 | inquire | |||
111043 | Lam Research | 716-008608-001 | WDO,SAPPHIRE,25MM X.087 - 2300 Star-T | Spares | 5 | inquire | |||
111044 | Lam Research | 716-801568-002 | WIN, QTZ - 2300 Star-T | Spares | 5 | inquire | |||
111045 | Lam Research | 716-012640-012 | WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Kiyo | Spares | 5 | inquire | |||
111046 | Lam Research | 716-012639-024 | NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Kiyo | Spares | 5 | inquire | immediately | ||
111814 | LAM Research | 2300 Versys Metal H | Metal Etch | 300mm | 1 | as is where is | |||
111047 | Lam Research | 716-026652-002 | RING,EDGE,BOT,200-300MM - 2300 Kiyo | Spares | 5 | inquire | |||
111048 | Lam Research | 716-026652-011 | RING,EDGE,BOT,200-300MM - 2300 Kiyo | Spares | 5 | inquire | |||
111049 | Lam Research | 716-031257-534 | RING,EDGE, TOP, EBP,2300 - 2300 Kiyo | Spares | 5 | inquire | |||
111050 | Lam Research | 716-031257-564 | RING,EDGE, TOP, EBP,300MM - 2300 Kiyo | Spares | 5 | inquire | |||
111051 | Lam Research | 716-008608-001 | WDO,SAPPHIRE,25MM X.087 - 2300 Kiyo | Spares | 5 | inquire | |||
111052 | Lam Research | 716-801568-002 | WIN, QTZ - 2300 Kiyo | Spares | 5 | inquire | |||
111053 | Lam Research | 716-801568-002 | WIN, QTZ - 2300 Versys Metal | Spares | 5 | inquire | |||
18898 | LAM RESEARCH | 406-240121-008 | TCP 9600SE Drawings and schematics Vol 3 | 1 | as is where is | ||||
18899 | LAM RESEARCH | 406-240121-005 | TCP 9600SE Maintenance procedure | 1 | as is where is | ||||
18900 | LAM RESEARCH | 406-240122-007 | TCP 9600SE Drawing and schematics Vol 2 | 1 | as is where is | ||||
18901 | LAM RESEARCH | 406-240122-006 | TCP 9600SE Drawing and schematics Vol 1 | 1 | as is where is | ||||
114133 | LAM Research | 2300 Exelan Flex FX+ - Chamber Only | Dielectric Etch | 300 mm | 1 | as is where is | |||
18902 | LAM RESEARCH | 406-240121-006 | TCP 9600SE Drawing and schematics Vol 1 | 1 | as is where is | ||||
114134 | LAM Research | 2300 KIYO EX | Polysilicon Etch | 300 mm | 1 | as is where is | |||
18903 | LAM RESEARCH | 406-240121-007 | TCP 9600SE Drawing and schematics Vol 2 | 1 | as is where is | ||||
114135 | LAM Research | 2300 Versys Metal H | Metal Etch | 300 mm | 1 | as is where is | |||
18904 | LAM RESEARCH | 406-240121-008 | TCP 9600SE Manual set addendum | 1 | as is where is | ||||
111576 | LAM RESEARCH | 2300 EXELAN FLEX | Dry Etching Chamber (Suitable for spares use) | 300 mm | 01.01.2013 | 5 | as is where is | immediately | |
114136 | LAM Research | 2300 Versys Metal H | Metal Etch | 300 mm | 1 | as is where is | |||
18905 | LAM RESEARCH | 409-240164-001 | TCP rainbow e oxide 9500 | 1 | as is where is | ||||
114137 | LAM Research | 2300e4 KIYO EX Metal | Metal Etch | 1 | as is where is | ||||
18906 | LAM RESEARCH | 406-240040-002 | TCP Rainbow 4500 system descriptions | 1 | as is where is | ||||
114138 | LAM Research | 2300e6 Exelan Flex HX | Dielectric Etch | 300 mm | 1 | as is where is | |||
18907 | LAM RESEARCH | 406-240203-074 | TPC 9400/9600 PTX alliance installation guide | 1 | as is where is | ||||
114139 | LAM Research | 2300e6 Exelan Flex HX | Dielectric Etch | 300 mm | 1 | as is where is | |||
18908 | LAM RESEARCH | 406-240101-001 | TCP 9400 SE installations and start up | 1 | as is where is | ||||
18909 | LAM RESEARCH | 407-240100-001 | TCP 9400 illustrated part identifier | 1 | as is where is | ||||
18910 | LAM RESEARCH | 406-240121-003 | TCP 9600 SE system operation | 1 | as is where is | ||||
18911 | LAM RESEARCH | 406-240121-002 | TCP 9600 SE system description | 1 | as is where is | ||||
18912 | LAM RESEARCH | 406-240101-005 | TCP 9400 SE maintenance classic | 1 | as is where is | ||||
18913 | LAM RESEARCH | 406-240203-074 | TCP 9400/9600 PTX alliance installation guide | 1 | as is where is | immediately | |||
18914 | LAM RESEARCH | 409-240163-001 | Rainbow TCP Envision LAM RESEARCH SECS and SECS/GEM interface | 1 | as is where is | ||||
18915 | LAM RESEARCH | 406-240100-005 | TCP 9400 maintenance procedure | 1 | as is where is | ||||
18916 | LAM RESEARCH | 406-240101-008 | Drawings and schematics Vol3 | 1 | as is where is | ||||
18917 | LAM RESEARCH | 406-240101-006 | Drawings and schematics Vol3 | 2 | as is where is | ||||
18918 | LAM RESEARCH | 406-240101-005 | Drawings and schematics Vol1 | 1 | as is where is | ||||
18919 | LAM RESEARCH | 406-240101-007 | Drawings and schematics Vol2 | 2 | as is where is | ||||
18920 | LAM RESEARCH | 406-240122-009 | Drawings and schematics Vol4 | 1 | as is where is | ||||
111340 | LAM RESEARCH | CONCEPT 3 (Parts) | Preclean module | 300 mm | 01.06.2010 | 2 | as is where is | immediately | |
18927 | LAM RESEARCH | 406-240122-008 | Drawing and schematics Vol3 | 1 | as is where is | ||||
18928 | LAM RESEARCH | 406-240122-010 | Drawing and schematics Vol5 | 1 | as is where is | ||||
106820 | Lam Research Ontrak | Synergy | Post CMP cleaner with HEPA mini-environment | 200 mm | 1 | as is where is | immediately | ||
111584 | Lam Research Ontrak | Synergy | Post CMP cleaner with HEPA mini-environment | 200 mm | 1 | as is where is | immediately | ||
110336 | Lambda | SPA 400 | Generator | SPARES | 1 | inquire | |||
82879 | LAMBDA | CKS-36-21A | 36V DC Regulated power supply, Advantest T5335P | Spares | 1 | as is where is | immediately | ||
13044 | Lambda | CA1000 | Alpha 1000W CA1000 Power Supply | Spares | 01.01.2004 | 1 | as is where is | immediately | |
15066 | LAMBDA PHYSIK | Novaline K2005 | 248 nm excimer laser for ASML /300 | facilities | 01.06.2002 | 1 | as is where is | immediately | |
113091 | LASER & PHYSICS | SISCAN-2-M7325 | Mask Test Machine | N/A | 1 | as is where is | |||
94475 | LASERTEC | BGM300 | Wafer Surface Analyzing and VIsualization System | 200 mm | 31.05.2008 | 1 | as is where is | ||
113092 | LASERTEC | BGM300 | Wafer Inspection System | 300 mm | 01.06.2014 | 1 | as is where is | immediately | |
112783 | Lauda-Brinkmann | WK500 | Chiller | Chiller | 1 | as is where is | |||
114507 | LAURIER | DS9000 | PICK AND PLACE | Assembly | 3 | as is where is | |||
106484 | Laurier | DS-7000T/R | Die Pick and Sort | 150 mm/200 mm | 01.11.1999 | 1 | as is where is | immediately | |
108762 | LEATHERWOOD | LPD333.FR4.FT | Semi-Auto Automated 6' Acid Wet Bench, for up to 6" Wafers, Excellent Condition | 150 mm | 1 | as is where is | 1 month | ||
112784 | LEATHERWOOD PLASTICS | CUSTOM | 6' SOLVENT BENCH REAR EXHAUST | 150 MM | 1 | as is where is | |||
11234 | LEE VAC | Gate valves | SPARES | 3 | as is where is | immediately | |||
111379 | Leica | INS3000 | Defect Inspection | 1 | as is where is | immediately | |||
111451 | Leica | INS 3000 | Microscope Inspection station | 200 mm | 01.01.1998 | 1 | as is where is | immediately | |
112785 | Leica | INM 100 | Microscope,Bright & Dark,Reflected Light | 1 | as is where is | ||||
112786 | Leica | INM20 | Microscope, BF/DF/DIC 200 | 1 | as is where is | ||||
112787 | Leica | POLYLITE 88 | Microscope, BF/DF/DIC 200 | 1 | as is where is | ||||
112788 | Leica | POLYLITE 88 | Microscope, Bright & Dark 200 | 1 | as is where is | ||||
112789 | Leica | POLYLITE 88 | Microscope, Bright & Dark 200 | 1 | as is where is | ||||
112790 | Leica | Polylite 88 | Microscope,Bright & Dark,Reflected Light | 2 | as is where is | ||||
112791 | Leica | Polylite 88 | Microscope,Bright & Dark,Reflected Light | 1 | as is where is | ||||
112792 | Leica | POLYLITE88 | Microscope,BF/DF/DIC,Reflected Light | 1 | as is where is | ||||
113837 | LEICA | INM200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 1 | as is where is | |||
113838 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1990 | 1 | as is where is | ||
113839 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
113840 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
113841 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
113842 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
113843 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
113844 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
113845 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
113846 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
113847 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
113848 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
113849 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
113850 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
113851 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
113852 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1990 | 1 | as is where is | ||
113853 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
113854 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1990 | 1 | as is where is | ||
113855 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1990 | 1 | as is where is | ||
113856 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
113857 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
113858 | LEICA | ERGOLUX 200 | Metrology Defect Inspection – Wafer Inspection Microscope | 150 mm | 01.06.1995 | 1 | as is where is | ||
83570 | Leitz | 512815 / 2 | Microscope for KLA 51xx with olympus eyepieces | 512 815/20 | 31.05.1995 | 1 | as is where is | immediately | |
112793 | Leitz | 060-680-014 | Microscope, Reflected Light | 1 | as is where is | ||||
112794 | Leitz | Ergolux | Microscope,Bright & Dark,Ref/Trans Light | 1 | as is where is | ||||
112795 | Leitz | Ergolux | Microscope,Bright & DarkReflected Light | 1 | as is where is | ||||
112796 | Leitz | Laborlux 12 HL | Microscope,BF/DF/DICRef/Trans Light | 1 | as is where is | ||||
112797 | Leitz | Secolux 6X6 | Microscope,Brightfield,Reflected Light | 1 | as is where is | ||||
109017 | LEITZ | ERGOLUX AMC -LIS | Inspection microscopes | 1 | |||||
106241 | LEYBOLD | ISO-K 100 | Large ISO-K Vacuum Bellows and 90 Degree Elbow | Spares | 1 | as is where is | immediately | ||
112798 | Leybold | LAB 600 EB | E Beam Evaporator--Ion Assist | 1 | as is where is | ||||
112799 | Leybold | 400036V0002-001-10M Cable | Turbomolecular Pump | Pump | 3 | as is where is | |||
112800 | Leybold | 400036V0006 Cable | Turbomolecular Pump | Pump | 5 | as is where is | |||
112801 | Leybold | 50P | Mechanical Pump | Pump | 2 | as is where is | |||
112802 | Leybold | D16A | Mechanical Pump | Pump | 4 | as is where is | |||
112803 | Leybold | D16AC | Mechanical Pump | Pump | 4 | as is where is | |||
112804 | Leybold | D16B | Mechanical Pump | Pump | 1 | as is where is | |||
112805 | Leybold | D25B | Mechanical Pump | Pump | 4 | as is where is | |||
112806 | Leybold | D25BCS | Mechanical Pump | Pump | 4 | as is where is | |||
112807 | Leybold | D40B | Mechanical Pump | Pump | 3 | as is where is | |||
112808 | Leybold | D40B/WSU251 | Mechanical Pump Combo | Pump | 6 | as is where is | |||
112809 | Leybold | D40BCS | Mechanical Pump | Pump | 19 | as is where is | |||
112810 | Leybold | D40BCS | Mechanical Pump | Pump | 2 | as is where is | |||
112811 | Leybold | D40BCS/WSU501 | Mechanical Pump Combo | Pump | 1 | as is where is | |||
112812 | Leybold | D4A | Mechanical Pump | Pump | 7 | as is where is | |||
112813 | Leybold | D60A | Mechanical Pump | Pump | 3 | as is where is | |||
112814 | Leybold | D65BCS | Mechanical Pump | Pump | 9 | as is where is | |||
112815 | Leybold | D8A | Mechanical Pump | Pump | 6 | as is where is | |||
112816 | Leybold | MAG W 1300 C | Turbomolecular Pump | Pump | 1 | as is where is | immediately | ||
112817 | Leybold | MAG W 1300 C | Turbomolecular Pump | Pump | 1 | as is where is | |||
112818 | Leybold | Magdrive 2000 | Turbo Pump Controller | Pump | 2 | as is where is | |||
112819 | Leybold | NT1000/1500VH | Turbo Pump Controller | Pump | 2 | as is where is | |||
112820 | Leybold | NT20 | Turbo Pump Controller | Pump | 1 | as is where is | |||
112821 | Leybold | SCROLLVAC SC 15 D | Mechanical Pump | Pump | 2 | as is where is | |||
112822 | Leybold | SCROLLVAC SC 30 D | Mechanical Pump | Pump | 1 | as is where is | |||
112823 | Leybold | SCROLLVAC SC 5 D | Mechanical Pump | Pump | 1 | as is where is | |||
112824 | Leybold | TD20 | Turbo Pump Controller | Pump | 1 | as is where is | |||
112825 | Leybold | TURBOVAC 1000C | Turbomolecular Pump | Pump | 1 | as is where is | |||
112826 | Leybold | TURBOVAC 1000C | Turbomolecular Pump | Pump | 1 | as is where is | |||
112827 | Leybold | TURBOVAC 1000C | Turbomolecular Pump | Pump | 2 | as is where is | |||
112828 | Leybold | TURBOVAC 1000C | Turbomolecular Pump | Pump | 1 | as is where is | |||
112829 | Leybold | TURBOVAC 1000C | Turbomolecular Pump | Pump | 2 | as is where is | |||
112830 | Leybold | TURBOVAC 151 | Turbomolecular Pump | Pump | 1 | as is where is | |||
112831 | Leybold | TURBOVAC 151C | Turbomolecular Pump | Pump | 1 | as is where is | |||
112832 | Leybold | TURBOVAC 600 | Turbomolecular Pump | Pump | 1 | as is where is | |||
112833 | Leybold | TURBOVAC 600C | Turbomolecular Pump | Pump | 3 | as is where is | immediately | ||
112834 | Leybold | WAU251/D40B | Mechanical Pump Combo | Pump | 1 | as is where is | |||
112835 | Leybold | WAU500/D65BCS | Mechanical Pump Combo | Pump | 1 | as is where is | |||
112836 | Leybold | WAU501/D65BCS | Mechanical Pump Combo | Pump | 2 | as is where is | |||
112837 | Leybold | WAU501USS250C | Mechanical Pump Combo | Pump | 1 | as is where is | |||
112838 | Leybold | WSU151/D40BCS | Mechanical Pump Combo | Pump | 1 | as is where is | |||
112839 | Leybold | WSU2001 | Mechanical Pump Combo | Pump | 2 | as is where is | |||
112840 | Leybold | WSU2001/SV585 | Mechanical Pump Combo | Pump | 1 | as is where is | |||
112841 | Leybold | WSU251/D40B | Mechanical Pump Combo | Pump | 1 | as is where is | |||
112842 | Leybold | WSU251/D40BCS | Mechanical Pump Combo | Pump | 1 | as is where is | |||
77003 | Leybold | 287 02 V2 | Pump Valve | PUMP | 1 | as is where is | immediately | ||
112843 | Leybold | WSU501/D65BCS | Mechanical Pump Combo | Pump | 3 | as is where is | |||
108838 | LEYBOLD-HERAEUS | NT-450 | Turbo Pump Controller | PUMP | 1 | inquire | |||
108839 | LEYBOLD-HERAEUS | TMP-450C | Turbo Pump, 2ea Available | PUMP | 1 | inquire | |||
108840 | LEYBOLD-HERAEUS | Turbotronik NT 150/360 | Turbomolecular Vacuum Pump Controller | PUMP | 1 | inquire | |||
108841 | LEYBOLD-HERAEUS | Turbovac 150 | Turbo Pump, 2ea Available | PUMP | 1 | inquire | |||
108842 | LEYBOLD-HERAEUS | Turbovac 150CSV | Turbo Pump, 2ea Available | PUMP | 1 | inquire | |||
113093 | LG SEMICON | CLS-9002 | 3rd Optical Inspection | N/A | 01.06.1997 | 1 | as is where is | ||
33542 | Liebherr | FKV 3610 | Fridge for the safe storage of photoresist | facilities | 1 | as is where is | immediately | ||
113094 | LINDA | IV5_HI | N/A | 1 | as is where is | ||||
106486 | Lintec | RAD-2000F / 8 | LINTEC UV CURE TOOL | 150 mm/200 mm | 01.07.2018 | 1 | as is where is | immediately | |
106487 | Lintec | RAD-2500 | Lintec Wafter Mounter RAD2500 | 150 mm/200 mm | 1 | as is where is | immediately | ||
106488 | Lintec | RAD-2500M/8 | Wafer mounter | 150 mm/200 mm | 01.06.2001 | 1 | as is where is | immediately | |
112844 | Logitech | BC1 | Wafer Bonder Controller | 1 | as is where is | ||||
107008 | Long Hill Ind. Ltd. | LH 836 | Automated Wafer taper | 150 mm | 01.03.2004 | 1 | as is where is | immediately | |
108282 | Longhill | LH800 | Wafer transfer machine | 200 mm | 01.06.2007 | 1 | as is where is | immediately | |
108843 | LOOMIS | LCD 2P | Wafer Scriber with Breaker Option | 1 | inquire | ||||
98730 | LOTUS | HF Spray Cleaner | 2-stage Wafer boat / Quartzware washer using HF and DIW | Facilities | 01.10.2007 | 1 | as is where is | immediately | |
110747 | LPKF | Vitrion S5000II | Laser Induced Deep Etching | 200 mm | 01.06.2022 | 2 | as is where is | ||
114454 | LST | LAB1200 | ACF BONDER | Assembly | 1 | as is where is | |||
94476 | LUMONICS | SUPERCLEAN | Laser Marking System | 200 mm | 1 | as is where is | |||
114318 | Lumonics | WMDCS | Wafer Marker | 200 mm | 01.03.1995 | 1 | as is where is | ||
113859 | Lumonics | Laser Mark II | Metrology Laser Mark Laser Mark | 150 mm | 1 | as is where is | |||
113860 | Lumonics | Laser Mark II | Metrology Laser Mark Laser Mark | 150 mm | 1 | as is where is | |||
112845 | Lytron | Coolix-4000 | Chiller | Chiller | 1 | as is where is | |||
112846 | Lytron | RWA012T-BE07CBD4 | Chiller | Chiller | 1 | as is where is | |||
112849 | M&W Systems | RPCX-17A-VER | Chiller | Chiller | 1 | as is where is | |||
112847 | M.T.A. S.p.A. | TAE EVO 121 | Chiller | Chiller | 1 | as is where is | |||
112848 | M.T.A. S.p.A. | TAE EVO 121-SS | Chiller | Chiller | 1 | as is where is | |||
77092 | MAC | 225B-111BAAA | Pneumatic solenoid valve | 1 | as is where is | ||||
108844 | MACTRONIX | UKA-625 | Eureka III Jr. Manual Wafer Transfer | 1 | inquire | ||||
108845 | MACTRONIX | UKA-825 | Wafer Transfer Tool - Eureka Jr. for 200 mm Wafers | 200 MM | 1 | inquire | |||
108764 | MACTRONIX | UKA-650 | Wafer Transfer Tool - Eureka III Sr. for 150mm Wafers | 150 mm | 1 | as is where is | |||
94599 | MagneTek | DS 316 | GPD 503 | SPARES | 1 | as is where is | |||
84026 | Maple Systems | HMI530TV45 | Touch Screen | spares | 01.01.2006 | 1 | as is where is | immediately | |
84072 | Maple Systems | HMI530TV45 | Touch Screen | spares | 01.01.2006 | 1 | as is where is | immediately | |
113972 | March | PX1000 | Plasma Asher | 300 mm | 1 | inquire | |||
113973 | March | PX500 | Plasma Asher | 150 mm | 1 | inquire | |||
110741 | March | AP-1000 | Plasma Cleaning System | Assembly | 01.06.2000 | 2 | as is where is | immediately | |
112850 | March Instruments | PM-600 | Box Plasma Etcher | 1 | as is where is | ||||
112851 | March Instruments | PX-2400 | Box Plasma Etcher | 1 | as is where is | ||||
112852 | March Instruments | PX-500 | Box Plasma Etcher | 1 | as is where is | ||||
83885 | MATHESON | TRI.GAS | ROTAMETER | 3 | as is where is | immediately | |||
18835 | MATRIX | 0995-99844 | System one etcher model 403 installations and operations manual | 2 | as is where is | ||||
18836 | MATRIX | 0995-99842 | system one etcher model 303 installations and operations manual | 1 | as is where is | ||||
18837 | MATRIX | 0995-99844 | Installation and operations manual | 1 | as is where is | ||||
18838 | MATRIX | 0995-99829 | Oem user's manual | 1 | as is where is | ||||
113861 | Matrix | 105 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1994 | 1 | as is where is | ||
113862 | Matrix | 205 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1995 | 1 | as is where is | ||
113863 | Matrix | 205 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1995 | 1 | as is where is | ||
113864 | Matrix | 205 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1996 | 1 | as is where is | ||
113865 | Matrix | 205 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1997 | 1 | as is where is | ||
113866 | Matrix | 205 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1997 | 1 | as is where is | ||
113867 | Matrix | 205 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1997 | 1 | as is where is | ||
113868 | Matrix | 205 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1999 | 1 | as is where is | ||
113869 | Matrix | 205 | Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1999 | 1 | as is where is | ||
113870 | Matrix | 403 | Poly Etcher | 150 mm | 01.06.1996 | 1 | as is where is | ||
111827 | Matrix | Bobcat 208 | Stripper/Asher | 200mm | 1 | as is where is | |||
83882 | MATSUSHITA | BBC 35 N | INTERRUPTOR CAP SYS | 01.05.2010 | 1 | as is where is | immediately | ||
83637 | MATSUSHITA | HP2-DC 24V | RELAY HP | 20 | as is where is | immediately | |||
108578 | MATTSON | PARADIGM_SI | DRY ETCH | 300mm | 01.06.2012 | 1 | as is where is | immediately | |
108579 | MATTSON | PARADIGM_SI | Poly-silicon etcher | 300 mm | 01.05.2011 | 1 | as is where is | immediately | |
112235 | Mattson | Aspen 2 | Stripper/Asher | 200 mm | 01.06.1994 | 1 | as is where is | ||
112236 | Mattson | Aspen 3 | Stripper/Asher | 300 mm | 01.06.2009 | 1 | as is where is | ||
112237 | Mattson | AST3000 | RTP 12-inch wafer rapid thermal processing equipment | 300 mm | 01.06.2007 | 1 | as is where is | ||
108910 | Mattson | AST3000 | RTP | 200 mm | 01.09.2002 | 1 | as is where is | immediately | |
112238 | Mattson | Helios | RTP | 200 mm | 01.06.2009 | 1 | as is where is | ||
112239 | Mattson | Millios | RTP | 300 mm | 01.06.2012 | 1 | as is where is | ||
112240 | Mattson | ParadigmE | Etch | 300 mm | 01.06.2012 | 1 | as is where is | ||
112241 | Mattson | ParadigmE XP | Light Etch | 300 mm | 01.06.2010 | 1 | as is where is | ||
109447 | MATTSON | RFS3019 | RF GENERATOR | Spares | 1 | as is where is | |||
114319 | Mattson | Aspen II ICP | Dual Chamber Asher / Stripper | 200 mm | 01.06.1999 | 1 | as is where is | ||
108184 | MATTSON | MILLIOS | Rapid Thermal Anneal | 300 mm | 01.06.2011 | 1 | as is where is | immediately | |
18845 | MATTSON | 17500675-00 | Aspen OEM manual volume I | 2 | as is where is | ||||
18846 | MATTSON | 17500675-00 | Aspen OEM manual volume II | 3 | as is where is | ||||
18847 | MATTSON | 17500675-00 | Aspen OEM manual volume III | 3 | as is where is | ||||
18848 | MATTSON | 299-31000-00 | Aspen strip system manual | 6 | as is where is | ||||
113095 | MATTSON | HELIOS | RTP | 300 mm | 01.06.2010 | 1 | as is where is | ||
113096 | MATTSON | HELIOS | RTP | 300 mm | 01.06.2006 | 1 | as is where is | ||
113871 | MATTSON | MATTSON - Full Flow | WET Etch Oxide WET Process | 150 mm | 01.06.1995 | 1 | as is where is | ||
113872 | MATTSON | MATTSON - Full Flow | WET Etch Oxide WET Process | 150 mm | 01.06.1996 | 1 | as is where is | ||
113873 | MATTSON | MATTSON - Full Flow | WET Etch Oxide WET Process | 150 mm | 01.06.1996 | 1 | as is where is | ||
113874 | MATTSON | MATTSON - Full Flow | WET Etch Oxide WET Process | 150 mm | 01.06.1996 | 1 | as is where is | ||
111830 | Mattson | Aspen III | Stripper/Asher | 300mm | 1 | as is where is | |||
111831 | Mattson | Aspen III | Stripper/Asher | 300mm | 1 | as is where is | |||
111832 | Mattson | Aspen III | Stripper/Asher | 300mm | 1 | as is where is | |||
111833 | Mattson | Aspen III ICP | Stripper/Asher | 300mm | 1 | as is where is | |||
111834 | Mattson | Aspen III ICP | Stripper/Asher | 300mm | 1 | as is where is | |||
111835 | Mattson | Aspen III ICPHT | Stripper/Asher | 300mm | 8 | as is where is | |||
114140 | Mattson | Suprema | Stripper/Asher | 1 | as is where is | ||||
98281 | MATTSON | HELIOS | RTP | 300 mm | 31.01.2006 | 1 | as is where is | immediately | |
98284 | MATTSON | PARADIGME SI | Polysilicon Etch | 300 mm | 1 | as is where is | |||
109552 | Mattson | Aspen 3 ICP | Dual Chamber Light Etcher | 300 mm | 01.07.2008 | 1 | as is where is | immediately | |
112599 | Mattson/Steag | SHS 2800 | Rapid Thermal Anneal | 200 mm SMIF | 01.06.1999 | 1 | as is where is | immediately | |
79571 | Mazzali | Climatest C320G5 | Temperature and humidity testing chamber | Reliability | 01.05.1993 | 1 | as is where is | immediately | |
79572 | Mazzali | Climatest C320G5 | Temperature and humidity testing chamber | Reliability | 01.05.1993 | 1 | as is where is | immediately | |
111473 | MDC (Materials Development Corp.) | 8604 | DuoChuck Microscope Stand | 150 mm | 01.05.1995 | 1 | inquire | immediately | |
4007 | MDC (Materials Development Corp.) | DUO CHUCK 8512-6 | Dual Integrated Hot Chuck CV measurement system in a dark box | 150 mm | 01.05.1995 | 1 | inquire | immediately | |
108284 | Mechatronic | mWS200/300t | Wafer sorter with 4 load ports | 300 mm | 01.06.2012 | 1 | as is where is | immediately | |
106821 | MECS | UTC 820Z | atmospheric wafer handling robot( Hitachi CD-SEM) | 200 mm | 1 | as is where is | immediately | ||
106822 | MECS | UTW-FS5500S | Atmospheric wafer handling robot | NA | 1 | as is where is | immediately | ||
98474 | MECS | OF 250 | wafer pre-aligner(Hitachi CDSEM 8820/8840) | 200mm | 3 | as is where is | immediately | ||
98476 | MECS | UTC 801P | atmospheric wafer handling robot( WJ-1000) | 200mm | 2 | as is where is | immediately | ||
98477 | MECS | UTX 1100 | atmospheric wafer handling robot(ASM eagle-10) | 200 mm | 2 | as is where is | immediately | ||
98478 | MECS | UTX 1200 | Atmospheric wafer handling robot(ASM eagle-10) | 200 mm | 1 | as is where is | immediately | ||
114320 | Megasystems | Megaflow IIIC | CMP Slurry Distribution system | 200 mm | 1 | as is where is | |||
114321 | Megasystems | MF IIIB GL DISP | CMP Slurry Distribution system | 200 mm | 1 | as is where is | |||
114322 | Megasystems | MFIII HC DIST & Blend | CMP Slurry Distribution system | 200 mm | 1 | as is where is | |||
108765 | MEI | VQ-20-ASA-H-SM | Variable Throttle Valve | 1 | as is where is | ||||
80244 | MELLES GRIOT | 05-LHP-121 | HE NE Laser (Unused) | Spares | 01.12.1996 | 1 | as is where is | immediately | |
113567 | Memmert | Cleanroom dryoven | Parts cleaner | 150 mm | 1 | as is where is | |||
113568 | Memmert | Cleanroom dryoven | Parts cleaner | 150 mm | 1 | as is where is | |||
113569 | Memmert | Cleanroom dryoven | Parts cleaner | 150 mm | 1 | as is where is | |||
113572 | Memmert | Memmert Venticell | Parts cleaner | 150 mm | 1 | as is where is | |||
113573 | Memmert | Cleanroom dryoven | Parts cleaner | 150 mm | 1 | as is where is | |||
113574 | Memmert | Cleanroom dryoven | Parts cleaner | 150 mm | 1 | as is where is | |||
113575 | Memmert | Cleanroom dryoven | Parts cleaner | 150 mm | 1 | as is where is | |||
110789 | Mentor Graphics | Veloce 2 Quattro | Hardware Emulation System | 1 | as is where is | immediately | |||
114141 | Metryx | Mentor DF3 | Mass Measurement | 300 mm | 1 | as is where is | |||
114323 | Meyer Burger | DW 288 | Diamond Wire Saw | 200 mm | 01.06.2017 | 1 | as is where is | ||
113329 | Meyer Burger | MAiA 2.1 PlasmaMax | PECVD Tool for Di-electric layer deposition (SiN, SiO) | 156 mm square (200 mm) | 01.06.2014 | 1 | as is where is | immediately | |
114324 | MGI Automation | EET10395F-2-U | Wafer Sorter | 200 mm | 01.03.2007 | 1 | as is where is | ||
114325 | MGI Automation | EET10395F-2-U | Wafer Sorter | 200 mm | 01.09.2017 | 1 | as is where is | ||
108766 | Micro Automation | 2066 | Mask and wafer cleaner | 1 | as is where is | immediately | |||
108185 | Micro Vu | Vertex 420 | Precision coordinate measurement system | assembly | 1 | as is where is | immediately | ||
108846 | MICROAUTOMATION | M-1100 | Wafer Dicing Saw, for up to 6" Wafers | 150 MM | 1 | inquire | |||
71902 | Microcontrol | MWE Plus | UV Wafer Eraser with cassette loading | 200 mm , 150 mm, 125 mm | 01.05.2000 | 1 | as is where is | immediately | |
108580 | Micromanipulator | Probe Station 1 | Manual Prober with hot and cold chuck | 200 mm | 1 | as is where is | immediately | ||
84374 | MICRON | 256 MB | SYNCH | 1 | as is where is | immediately | |||
108847 | MICRONICS JAPAN CO. | MP-10 | Manual Probe Station with B&L StereoZoom 7 Microscope & 2ea Micropositioners | 1 | inquire | ||||
84059 | MICROSPEED | PD-250C | PC-TRAC mouse | FUUTB02 | 1 | as is where is | immediately | ||
113974 | MicroTech | Solvent Wet Bench | 1 | inquire | |||||
106496 | Milara | Milara MTW-1 | Milara MiniTouch MTW-1 Wafer Screen Printer | 150 mm/200 mm | 1 | as is where is | |||
106495 | Milara | Milara MTW-1 | Milara MiniTouch MTW-1 Wafer Screen Printer | 150 mm/200 mm | 1 | as is where is | |||
80260 | MILLIPORE | WGGB06WR1 | WAFERGARD IN-LINE GAS FILTER | SPARES | 4 | as is where is | immediately | ||
80265 | MILLIPORE | FLUOROGARD-PLUS CWFA01PLV | filter CARTRIDGE | spares | 1 | as is where is | immediately | ||
80245 | MILLIPORE CORP | WGFG01HR1 | WAFERGARD F MINI IN-LINE GAS FILTER | 2 | as is where is | immediately | |||
82220 | Milton Roy | AA761-65S | Flow rate pump | 1 | as is where is | immediately | |||
108848 | MINATO | MM-6600 | Wafer Mobility Tester with MECS UX-1000 Wafer Robot, 2ea Available | 1 | inquire | ||||
79592 | Minato Electronics | 1940 | EPROM Programmer with additional memory | Electronics Test and Measurement | 01.05.2007 | 1 | as is where is | immediately | |
79593 | Minato Electronics | 1940 | EPROM Programmer | Electronics Test and Measurement | 01.05.2005 | 1 | as is where is | immediately | |
83812 | MINERTIA MOTOR | RM SERIES | MOTOR ELECTRIC | 30.09.1996 | 1 | as is where is | immediately | ||
83890 | MINERTIA MOTOR | RM SERIES | INDUCTION MOTOR | 01.03.1997 | 1 | as is where is | immediately | ||
111456 | MIRAE Corp. | M330H | Handler | 01.01.2009 | 1 | as is where is | immediately | ||
111415 | Mirtec | MV-3U | Desktop AOI | 1 | as is where is | immediately | |||
110337 | MISC | BPS MATCH | Match | SPARES | 1 | inquire | |||
110338 | MISC | DC BIAS CONTROL | Match | SPARES | 1 | inquire | |||
110339 | MISC | DC BIAS CONTROL | Match | SPARES | 1 | inquire | |||
110340 | MISC | DC BIAS CONTROL | Match | SPARES | 1 | inquire | |||
83523 | MITSUBISHI | MR-J10A1 | AC SERVO | POWER 100 W | 1 | ||||
83554 | MITSUBISHI | FR-Z120-0.4K | PLC, INVERTER 200 V CLASS | FREQROL-Z120 | 1 | as is where is | immediately | ||
83638 | MITSUBISHI | Melservo MR-C10A1-UE | AC SERVO AMPLIFIER | 100-120 V | 31.03.2005 | 1 | as is where is | immediately | |
112853 | Mitutoyo | 176-901-1A | Microscope, Coaxial | 1 | as is where is | ||||
110341 | MKS | DCG 100E OPTIMA | Generator | SPARES | 1 | inquire | |||
110342 | MKS | MW-5060 | Match | SPARES | 1 | inquire | |||
110343 | MKS | MW-5060 | Match | SPARES | 1 | inquire | |||
110344 | MKS | MW-5060 | Match | SPARES | 1 | inquire | |||
110345 | MKS | MW-5060 | Match | SPARES | 1 | inquire | |||
110346 | MKS | MWJ-1013 | Match | SPARES | 1 | inquire | |||
111378 | MKS | FI20620 Astex | Power supply | 1 | as is where is | immediately | |||
111405 | MKS | AX7685-20-C Astek Astron E | Remote Plasma Cleaner | 1 | as is where is | immediately | |||
108849 | MKS | NW100 | Two Stage Heated Vacuum Valve, HPS DIV Jalapeno 45 Series Heater Cover | SPARES | 1 | inquire | |||
87366 | MKS | 653B-13064 | Type 653 Throttle Control Valve DN100 (4 INCH ID FLANGE) | Spares | 1 | as is where is | immediately | ||
111471 | MKS | OEM-6A-11491-51 | RF Generator | 0 | as is all rebuilt | immediately | |||
77940 | MKS | 627BX01MCC1B | Baratron 1mbar (CAPACITANCE MANOMETER) | Spares | 1 | as is where is | immediately | ||
109448 | MKS | ASTRON i | Remote Plasma Cleaner | Spares | 2 | as is where is | |||
109449 | MKS | ASTRONex | Remote Plasma Cleaner | Spares | 1 | as is where is | |||
109450 | MKS | AX3060-1 | Remote Plasma Cleaner | Spares | 1 | as is where is | |||
109451 | MKS | AX3063 | Remote Plasma Cleaner | Spares | 4 | as is where is | |||
109452 | MKS | AX3063ULVJ | Remote Plasma Cleaner | Spares | 1 | as is where is | |||
109453 | MKS | AX3151 | Remote Plasma Cleaner | Spares | 2 | as is where is | |||
109454 | MKS | AX7650 | Remote Plasma Cleaner | Spares | 1 | as is where is | |||
109455 | MKS | AX7657-2 | Remote Plasma Cleaner | Spares | 5 | as is where is | |||
109457 | MKS | AX9004 | Remote Plasma Cleaner | Spares | 1 | as is where is | |||
109458 | MKS | B-3013 | RF GENERATOR | Spares | 1 | as is where is | |||
109459 | MKS | B-5002 | RF GENERATOR | Spares | 1 | as is where is | |||
109460 | MKS | B-5002 | RF GENERATOR | Spares | 1 | as is where is | |||
109461 | MKS | D13449 | RF GENERATOR | Spares | 3 | as is where is | |||
109462 | MKS | DCG-200Z | RF GENERATOR | Spares | 1 | as is where is | |||
109463 | MKS | DCG-200Z | RF GENERATOR | Spares | 1 | as is where is | |||
109464 | MKS | DCG-200Z | RF GENERATOR | Spares | 2 | as is where is | |||
109465 | MKS | DCG-200Z | RF GENERATOR | Spares | 6 | as is where is | |||
109466 | MKS | DCG-200Z | RF GENERATOR | Spares | 1 | as is where is | |||
109467 | MKS | DCG-200Z | RF GENERATOR | Spares | 1 | as is where is | |||
109468 | MKS | DCG-200Z | RF GENERATOR | Spares | 2 | as is where is | |||
109469 | MKS | DCS80-13E | RF GENERATOR | Spares | 4 | as is where is | |||
109470 | MKS | FI20160-1 | RF GENERATOR | Spares | 1 | as is where is | |||
109474 | MKS | FI20608 | RF GENERATOR | Spares | 1 | as is where is | |||
109475 | MKS | FI20609 | RF GENERATOR | Spares | 1 | as is where is | |||
109477 | MKS | GEW-3540 | RF GENERATOR | Spares | 2 | as is where is | |||
109478 | MKS | GHW-12Z | RF GENERATOR | Spares | 2 | as is where is | |||
109479 | MKS | GHW-50Z | RF GENERATOR | Spares | 1 | as is where is | |||
109480 | MKS | GHW-85A | RF GENERATOR | Spares | 1 | as is where is | |||
109481 | MKS | GHW-85A | RF GENERATOR | Spares | 1 | as is where is | |||
109482 | MKS | GL-139 | RF GENERATOR | Spares | 1 | as is where is | |||
109483 | MKS | MWH-100-01M6 | RF GENERATOR | Spares | 1 | as is where is | |||
109484 | MKS | MWH-100-01M6 | RF GENERATOR | Spares | 1 | as is where is | |||
109485 | MKS | OEM-12 | RF GENERATOR | Spares | 2 | as is where is | |||
109486 | MKS | OEM-1250 | RF GENERATOR | Spares | 9 | as is where is | |||
109487 | MKS | OEM-25-11481 | RF GENERATOR | Spares | 1 | as is where is | |||
109488 | MKS | OEM-25G | RF GENERATOR | Spares | 1 | as is where is | |||
109489 | MKS | OEM-25N-01 | RF GENERATOR | Spares | 1 | as is where is | |||
109490 | MKS | OEM-6A-01 | RF GENERATOR | Spares | 1 | as is where is | |||
109491 | MKS | OEM-6A-11491-51 | RF GENERATOR | Spares | 1 | as is where is | |||
74164 | MKS | 154-0100P | High Vacuum Valve, NEW | spares | 31.12.2005 | 1 | as is where is | immediately | |
109492 | MKS | OEM-6AM-1B | RF GENERATOR | Spares | 2 | as is where is | |||
109493 | MKS | OEM25A-21091-51 | RF GENERATOR | Spares | 3 | as is where is | |||
109494 | MKS | PC-3G | RF GENERATOR | Spares | 1 | as is where is | |||
69856 | MKS | Type 624 | Baratron pressure transducer with trip points, range 1000 Torr, CE | spares | 1 | as is where is | immediately | ||
106085 | MKS ASTeX | Astron FI80131-R | Remote Plasma Source | Spares | 1 | as is where is | immediately | ||
114389 | MKS ASTeX | ASTRON AX7670 | Remote Plasma Cleaning Unit (RPS) | Spares | 4 | inquire | 2 weeks | ||
101024 | MKS Instruments | 162-0040K | Inline Pneumatic Valve ISO-KF NW 40 flanges | spares | 1 | as is where is | immediately | ||
114326 | Modutek | Wet Bench - Etch | Acid Wet Bench | 150~200 mm | 1 | as is where is | |||
112854 | Modutek | RCe 025-2500-2 | Chiller | Chiller | 2 | as is where is | |||
84235 | Moeller | Xpole PLSM-C10/1 | mini breaker switch | Spares | 1 | as is where is | immediately | ||
18877 | MOSAID | 1000242-01 | MS3400 test system reference manual | 1 | as is where is | ||||
114142 | MOSAID | MS3480 | Design Verification Tester | 200 mm | 1 | as is where is | |||
108767 | MOSAID | MS4155 | Memory Test System | TEST | 1 | as is where is | immediately | ||
114143 | MOSAID | MS3480 | Design Verification Tester | 200 mm | 1 | as is where is | |||
114144 | MOSAID | MS3480 | Design Verification Tester | 200 mm | 1 | as is where is | |||
114145 | MOSAID | MS3490 | Design Verification Tester | 200 mm | 1 | as is where is | |||
114146 | MOSAID | MS3490 | Design Verification Tester | 200 mm | 1 | as is where is | |||
114147 | MOSAID | MS3490 | Design Verification Tester | 200 mm | 1 | as is where is | |||
114148 | MOSAID | MS3490 | Design Verification Tester | 200 mm | 1 | as is where is | |||
114149 | MOSAID | MS4205 | Design Verification Tester | 200 mm | 1 | as is where is | |||
114150 | MOSAID | MS4205 | Design Verification Tester | 200 mm | 1 | as is where is | |||
108028 | MOSAID | M4205 | Memory Tester | TEST | 1 | as is where is | immediately | ||
112855 | MPM | SP2400 | SCREEN PRINTER WITH MANUAL VISION SYSTEM | SMT | 1 | as is where is | |||
108768 | MPM | SP200 | Screen Printer | smt | 1 | as is where is | immediately | ||
112856 | MRC | 902 | In-Line Sputtering System | 1 | as is where is | ||||
72156 | MRL | Black Max | Black max heater element, 850 celcius | Spares | 01.11.2006 | 1 | as is where is | immediately | |
109029 | MRSI | 505 | Die Attach/ Multichip Module | assembly | 01.01.2001 | 1 | as is where is | immediately | |
114418 | MRSI | 705 | Die bonder | Assembly | 01.03.2014 | 6 | as is where is | immediately | |
84372 | MS PRG WO | A9403789 | MOUSE MODEL No.240C | 01.02.1999 | 1 | as is where is | immediately | ||
108029 | MSP CORP. | 2300XP1 | Particle Depositioning | 300 mm | 1 | as is where is | |||
106242 | Muegge | MX4000D-110LL | MICROWAVE POWER SUPPLY | SPARES | 01.02.2002 | 8 | as is where is | immediately | |
82230 | Muegge | Controller | Controller, CAN controller, L/R sync | 01.12.2001 | 1 | as is where is | immediately | ||
79968 | Muegge | MW2009D-260ED | Magnetron Head 2.45GHZ | 01.12.2001 | 2 | as is where is | immediately | ||
77936 | Muegge | MX4000D-110LL | Microwave Power Supply | 3 | as is where is | immediately | |||
106497 | Muhlbauer | DS 10000 | DSD HIGH SPEED TAPE AND REEL SYSTEM | ASSEMBLY | 01.06.2006 | 1 | as is where is | immediately | |
106498 | Muhlbauer | DS 10000 | Muhlbauer DS10000 | 150 mm/200 mm | 1 | as is where is | |||
108964 | Multitest | MT2168 | test handler with hot, ambient and cryogenic cooling options | TEST | 01.06.2018 | 1 | as is where is | immediately | |
113347 | Multitest | MT9510 | Tri-temp Handler | TEST HANDLER | 3 | inquire | |||
111838 | Muratec Murata Machinery, Ltd. | CSS10 | Wafer Stocker | 300mm | 1 | as is where is | |||
108084 | Musashi | FAM2200 | Dispenser | SMT | 1 | as is where is | |||
108085 | Musashi | Short Master 200 | Dispenser | SMT | 1 | as is where is | |||
108086 | Musashi | Short Master 300 | Dispenser | SMT | 2 | as is where is | |||
114513 | MUSASHI | FAD2300 2S | DISPENSER | Assembly | 2 | as is where is | |||
114514 | MUSASHI | FAD2300 SL | DISPENSER | Assembly | 1 | as is where is | |||
114515 | MUSASHI | FAD2200 | DISPENSER | Assembly | 1 | as is where is | |||
114516 | MUSASHI | FAD2200D | DISPENSER | Assembly | 1 | as is where is | |||
114517 | MUSASHI | MX8000CM | DISPENSER | Assembly | 1 | as is where is | |||
113101 | N&K | ANALYZER 5700-CDRT | Wafer Inspection System | N/A | 1 | as is where is | |||
113102 | NANO OPTICS | HAZE 2 | Wafer Inspection System | 200 mm | 1 | as is where is | |||
107027 | NANOMETRICS | Caliper Mosaic | Overlay measurement System | 300 mm | 01.08.2010 | 1 | inquire | immediately | |
109113 | Nanometrics | LynX 9010T | Optical CD Measurement (Scatterometry) system | 300 mm | 01.09.2008 | 1 | as is where is | immediately | |
106302 | Nanometrics | SIPHER | PL Mapping | 300 mm | 01.06.2002 | 1 | as is where is | immediately | |
106823 | Nanometrics | Nanospec 9100 | Oxide film thickness measurement (PC missing) | 200 mm | 01.03.2003 | 1 | as is where is | immediately | |
112242 | Nanometrics | Atlas II+ | Metrology Film Thickness Measurement | 300 mm | 1 | as is where is | |||
112243 | Nanometrics | Caliper Elan | Metrology Overlay | 200 mm | 01.06.2005 | 1 | as is where is | ||
112244 | Nanometrics | Caliper Mosaic | Metrology Overlay | 300 mm | 01.06.2009 | 1 | as is where is | ||
112245 | Nanometrics | Caliper Mosaic | Metrology Overlay | 300 mm | 01.06.2011 | 1 | as is where is | ||
112246 | Nanometrics | Caliper Mosaic | Metrology Overlay | 300 mm | 01.06.2009 | 1 | as is where is | ||
112247 | Nanometrics | Caliper Mosaic | Metrology Overlay | 300 mm | 01.06.2009 | 1 | as is where is | ||
103544 | NANOMETRICS | CALIPER ELAN | Overlay | 300 mm | 31.05.2004 | 1 | as is where is | ||
112248 | Nanometrics | Caliper Mosaic | Metrology Overlay | 300 mm | 01.06.2011 | 1 | as is where is | ||
112249 | Nanometrics | Caliper Mosaic | Metrology Overlay | 300 mm | 01.06.2011 | 1 | as is where is | ||
112250 | Nanometrics | NanoSpec AFT 180 | Metrology Thickness measurement | 150 mm | 1 | as is where is | |||
91526 | NANOMETRICS | Caliper Mosaic | Overlay | 300 mm | 31.05.2003 | 1 | as is where is | immediately | |
91529 | NANOMETRICS | Caliper Mosaic | Overlay | 300 mm | 28.02.2010 | 1 | as is where is | immediately | |
98480 | Nanometrics | 8000X | film thickness measurement | 150 mm | 2 | as is where is | immediately | ||
98481 | Nanometrics | 8000Xse | film thickness measurement | 200 mm | 2 | as is where is | immediately | ||
98482 | Nanometrics | Caliper Mosaic (Parts) | EFEM Module, including a Brooks Razor robot | 300 mm | 1 | as is where is | immediately | ||
98483 | Nanometrics | Lynx EFEM | EFEM including a Kawasaki robot | 300 mm | 1 | as is where is | immediately | ||
108467 | Nanometrics | Tevet Trajectory T3 | Film Thickness Measurement System | 300 mm | 1 | as is where is | |||
106179 | Nanometrics | 9000 | Nanospec Wafer Metrology film thickness measurement tool | 200 mm | 01.06.2005 | 1 | as is all rebuilt | immediately | |
106959 | Nanometrics | 9000 (Spare Parts) | Spectroscopic Reflectometer | Spares | 01.06.2005 | 1 | as is where is | immediately | |
113103 | NANOMETRICS | CALIPER_MOSAIC | Optical Overlay measurement | 300 mm | 01.06.2003 | 1 | as is where is | ||
106960 | Nanometrics | 9000 (Spare Parts) | Computer Nanometrics P/n 7200-2432 | Spares | 01.06.2005 | 1 | as is where is | immediately | |
113104 | NANOMETRICS | CALIPER_MOSAIC | Optical Overlay measurement | 300 mm | 01.06.2001 | 1 | as is where is | immediately | |
101585 | NANOMETRICS | CALIPER ULTRA | Optical Overlay Measurement | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
113105 | NANOMETRICS | CALIPER_MOSAIC | Optical Overlay measurement | 300 mm | 1 | as is where is | |||
113107 | NANOMETRICS | CALIPER-ULTRA | Optical Overlay measurement | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
113875 | NANOMETRICS | 210 | Metrology Thickness Measurement NANO | 150 mm | 01.06.1988 | 1 | as is where is | ||
113876 | NANOMETRICS | 8000XSE | Metrology Thickness Measurement NANO | 150 mm | 01.06.1988 | 1 | as is where is | ||
113109 | NANOMETRICS | NANOSPEC 8000 | Film Thickness Measurement System | 200 mm | 01.12.1996 | 1 | as is where is | immediately | |
113877 | NANOMETRICS | 8000XSE | Metrology Thickness Measurement NANO | 150 mm | 01.06.1988 | 1 | as is where is | ||
113878 | NANOMETRICS | 8000XSE | Metrology Thickness Measurement NANO | 150 mm | 01.06.1988 | 1 | as is where is | ||
113879 | NANOMETRICS | 8000XSE | Metrology Thickness Measurement NANO | 150 mm | 01.06.1997 | 1 | as is where is | ||
113880 | NANOMETRICS | 8000XSE | Metrology Thickness Measurement NANO | 150 mm | 01.06.1997 | 1 | as is where is | ||
98289 | NANOMETRICS | CALIPER ULTRA | Mask & Wafer Inspection | 300 mm | 31.05.2005 | 1 | as is where is | ||
98290 | NANOMETRICS | CALIPER ULTRA | Mask & Wafer Inspection | 300 mm | 31.05.2005 | 1 | as is where is | ||
98291 | NANOMETRICS | CALIPER ULTRA | Mask & Wafer Inspection | 300 mm | 30.06.2006 | 1 | as is where is | immediately | |
77197 | Nanyang | Quartz wafer boat | Shell boat 200mm quartz | 200mm | 6 | as is where is | immediately | ||
112857 | National Electronic | MH3.OW-SL | RF Generator 3 KW 2450 MHZ | SPARES | 2 | as is where is | |||
113315 | National Instruments | PXIe-4136 | Source Measurement Unit (SMU) | Electronics test and Measurement | 01.06.2023 | 20 | as is where is | immediately | |
69868 | National Instruments | GPIB120A | GPIB BUS EXPANDER / ISOLATOR | TEST | 31.05.1998 | 8 | as is where is | immediately | |
84369 | NC NOR-CAL PRODUCTS | 0995-16528 | CHEMRAZ O-RING INSIDE OF VALVE | 01.03.1990 | 1 | as is where is | immediately | ||
91143 | NEC | NEC SL-473F | Si Wafer Marker | 1 | as is where is | immediately | |||
83887 | NEC | C1OT 6D TA 0100 | THERMOSTAT | 01.06.2004 | 1 | as is where is | immediately | ||
83888 | NEC | MU1238B-11B | AIRFLOW ROTATION | 1 | as is where is | immediately | |||
83889 | NEC | MF300-02 | MIST FILTER | MF300-02 | 1 | as is where is | immediately | ||
113110 | NEC | SL-473F | Si Wafer Marker | N/A | 01.06.1999 | 1 | as is where is | ||
83545 | Nemic-LAMBDA | CKD-65/65 | 65V 6A DC Regulated power supply FOR Advantest T5335P | Spares | 1 | as is where is | immediately | ||
83546 | Nemic-LAMBDA | TKS_36-21A | 36V 21A DC Regulated power supply, Advantest T5335P | Spares | 1 | as is where is | immediately | ||
114487 | NEON TECH | MANUAL RING CUTTER, 4 INCH | 100 MM | 1 | as is where is | ||||
107009 | NESLAB | HX+75 A/C | Process Module Chiller | Facilities | 01.06.1995 | 1 | as is where is | immediately | |
108850 | NESLAB | CFT-75 | Recirculating Water Chiller | CHILLER | 1 | inquire | |||
108851 | NESLAB | RTE-221 | Refrigerated Recirculating Water Chiller with Microprocessor Controller | CHILLER | 1 | inquire | |||
111477 | NESLAB | CFT-75 | Recirculating Water Chiller | 1 | as is where is | immediately | |||
111478 | NESLAB | HX-500 | Recirculating Water Chiller w/Digital Temp Ctlr, Air Cooled | 1 | as is where is | immediately | |||
111479 | NESLAB | HX-750 | Air Cooled Recirculating Water Chiller | 1 | as is where is | immediately | |||
111480 | NESLAB | System III | Recirculating Water Chiller | 1 | as is where is | immediately | |||
94594 | Neslab | 1033-0017-001 | Chiller | CHILLER | 1 | as is where is | |||
111505 | NESLAB | RTE-221 | Chiller | 1 | as is where is | immediately | |||
111506 | NESLAB | HX75 (Ivory) | Chiller | 1 | as is where is | immediately | |||
111507 | NESLAB | HX-300 (Ivory) | Chiller | 1 | as is where is | immediately | |||
111508 | NESLAB | HX-300 (Blue) | Chiller | 1 | as is where is | immediately | |||
111509 | NESLAB | HX150 (Ivory) | Chiller | 1 | as is where is | immediately | |||
111510 | NESLAB | HX150 (Blue) | Chiller | 1 | as is where is | immediately | |||
111542 | Neslab | HX150 Blue | Chiller | CHILLER | 01.06.2000 | 10 | as is where is | immediately | |
111543 | Neslab | HX150 White | Chiller | CHILLER | 01.06.2000 | 5 | as is where is | immediately | |
74168 | Neslab | RTE-110 | Benchtop Laboratory chiller | Spares | 01.06.1995 | 1 | as is where is | immediately | |
112858 | Neslab | CFT-25 | Chiller | Chiller | 1 | as is where is | |||
112859 | Neslab | CFT-33M | Chiller | Chiller | 1 | as is where is | |||
112860 | Neslab | HX-75 /115-volt | Chiller | Chiller | 1 | as is where is | |||
112861 | Neslab | HX-75 High Temperature | Chiller | Chiller | 4 | as is where is | |||
112862 | Neslab | HX-900WC | Chiller | Chiller | 1 | as is where is | |||
112863 | Neslab | M33 | Chiller | Chiller | 1 | as is where is | |||
112864 | Neslab | M33 | Chiller | Chiller | 1 | as is where is | |||
108769 | NESLAB | HX-750 | Air Cooled Recirculating Water Chiller, Damaged Compressor | 1 | as is where is | ||||
108770 | NESLAB | HX-75 | Recirculating Water Chiller w/Digital Temp Ctlr, Water Cooled | 1 | as is where is | ||||
113581 | NexGen | MG22 | Spin Etcher | 150 mm | 1 | as is where is | |||
108031 | NEXTEST | MAGNUM II EV ICP | Memory Tester | TEST | 01.11.2011 | 1 | as is where is | ||
99382 | NexTest / Teradyne | MAVERICK PT II | Automated Test Equipment | TEST | 1 | as is where is | immediately | ||
99383 | NexTest / Teradyne | MAVERICK PT II | Automated Test Equipment | TEST | 1 | as is where is | immediately | ||
109045 | NexTest / Teradyne | Maverick-II PT | Bitmap Tester | TEST | 01.05.2003 | 1 | as is where is | immediately | |
109046 | NexTest / Teradyne | Maverick-II PT | Bitmap Tester | TEST | 01.05.2004 | 1 | as is where is | immediately | |
108032 | Nextest Systems Corporation | MAGNUM II ICP 1280 | Memory Tester | TEST | 01.04.2010 | 1 | as is where is | immediately | |
108030 | Nextest Systems Corporation | MAGNUM ICP 1280 | Memory Tester | TEST | 01.05.2010 | 1 | as is where is | immediately | |
112251 | NEXX | APOLLO HP | PVD Ti Sputter 3D | 300 mm | 01.06.2012 | 1 | as is where is | ||
112252 | NEXX | Stratus S300 | ECD Electroplating | 300 mm | 01.06.2009 | 1 | as is where is | ||
112865 | Nexx Systems | Cirrus 300 | ECR PECVD RIE SYSTEM | 1 | as is where is | ||||
114518 | NGK | RC8000ACD | CO2 BUBBLER | Assembly | 1 | as is where is | |||
114519 | NGK | RC2000ACDS | CO2 BUBBLER | Assembly | 1 | as is where is | |||
114520 | NGK | RC1000A | CO2 BUBBLER | Assembly | 2 | as is where is | |||
114521 | NGK | MEGCONII | CO2 BUBBLER | Assembly | 1 | as is where is | |||
108853 | NICOLET | Avatar 370 DTGS | FT-IR Spectrometer | 1 | inquire | ||||
112866 | Nicolet | NEXUS 470 | FT-IR with Spectra-Tech Continuum Scope and TGA Interface | 1 | as is where is | ||||
108771 | NICOLET | Magna 550 | FT-IR Spectrophotometer | Laboratory | 01.05.1993 | 1 | as is all rebuilt | immediately | |
113582 | Nidek / Leitz | Nidek waferloader + Leitz microscope | Manual inspection system | 150 mm | 01.06.1992 | 1 | as is where is | ||
114492 | NIHON GARTER | NCS-1700V-E2 | DIE SORTER | Assembly | 1 | as is where is | |||
114493 | NIHON GARTER | NCS-1700V | DIE SORTER | Assembly | 1 | as is where is | |||
114494 | NIHON GARTER | NCS-1600IV | DIE SORTER | Assembly | 1 | as is where is | |||
109057 | Nikon | NSR-S307E | 193 nm (ArF) excimer exposure system | 300 mm | 1 | as is where is | immediately | ||
106503 | Nikon | Auto Wafer Loader for Microscope Inspection | WAFER INSPECTION MICROSCOPE | 150 mm/200 mm | 1 | as is where is | immediately | ||
110610 | NIKON | OptiStation 3 | Wafer Inspection Microscope | 200 mm | 1 | as is where is | immediately | ||
110618 | NIKON | Optistation 3 | Wafer Inspection Microscope | 01.07.1994 | 1 | as is where is | immediately | ||
103456 | Nikon | NWL860-TBM | Wafer Auto Loader | 150 mm, 200 mm | 1 | as is where is | immediately | ||
111396 | Nikon | R2205H | Set of test reticles | spares | 4 | as is where is | immediately | ||
108854 | NIKON | NWL-860 | Automatic Microscope Wafer Loader for up to 200mm Wafers | 1 | inquire | ||||
108087 | Nikon | 4S061-690-1 | Linear Motor Controller | spares | 1 | as is where is | immediately | ||
108855 | NIKON | Optiphot 200 | Wafer Inspection Microscope, B/Dfield & DIC Microscopy, Motorized Turret with 5ea Objectives, Prior ProScan Programmable Stage, 200mm X 200mm XY Travel, Color CCD Camera & More | 1 | inquire | ||||
113975 | Nikon | L200N | Microscope w/ TH200 Wafer Loader | 200 mm | 1 | inquire | |||
108088 | Nikon | 4S586-580 | NEST | spares | 1 | as is where is | |||
108089 | Nikon | Irradiance Meter | included G-line sensor | spares | 1 | as is where is | |||
108092 | Nikon | OPTIPHOT-200 | Wafer inspection microscope | 200 mm | 3 | as is where is | |||
108093 | Nikon | OPTIPHOT-300 | Wafer inspection microscope | 300 mm | 1 | as is where is | |||
108094 | Nikon | OPTIPHOT-88-AC IN | Wafer inspection microscope | 200 mm | 1 | as is where is | |||
108095 | Nikon | R1505A | test reticle | spares | 2 | as is where is | immediately | ||
111423 | Nikon | 4S065-050-2 | Controller | 1 | as is where is | immediately | |||
108096 | Nikon | R2005MF(VER3.09N), R2005HMF(VER5.09) | test reticle | spares | 2 | as is where is | |||
108097 | Nikon | R2205HA, HB, HDIS, HMF | test reticle | spares | 4 | as is where is | |||
108098 | Nikon | VT286 | Digital | spares | 2 | as is where is | |||
110663 | Nikon | NSR-SF140 | I-LINE SCANNER | 300 mm | 1 | as is where is | immediately | ||
110664 | Nikon | NSR-S204B | 248 nm (KrF) excimer exposure system | 200 mm | 1 | as is where is | immediately | ||
111445 | Nikon | X-tek V130 | PCB X-ray inspection system - Ideal for solder bump inspection | SMT | 01.06.2011 | 1 | as is where is | immediately | |
110690 | Nikon | NSR 2205 I11D | i line Stepper | 200 mm | 01.06.1997 | 1 | as is where is | immediately | |
110691 | Nikon | NSR 2205 I9C | i line Stepper | 200 mm | 01.06.1994 | 1 | as is where is | immediately | |
110695 | Nikon | NWL 860 TMB | Wafer Inspection Microscope with wafer autoloader | 150 mm, 200 mm | 1 | as is where is | immediately | ||
108904 | Nikon | NSR-S609B | ArF 193 nm scanner (Scanner) | 300 mm | 01.05.2005 | 1 | as is where is | immediately | |
114539 | Nikon | L200 with AL100 loader | Microscope with autoloader | Assembly | 1 | as is where is | |||
108923 | Nikon | NES1-H04 | Mini stepper | 100 mm | 01.05.2011 | 1 | as is where is | immediately | |
112253 | Nikon | NSR-2205EX14C | Stepper 250nm, KrF Stepper with Cymer ELS 5400 laser | 200 mm | 01.06.2000 | 1 | as is where is | ||
112254 | Nikon | NSR-2205EX14C | Stepper 250nm, KrF Stepper | 200 mm | 01.06.2000 | 1 | as is where is | ||
112255 | Nikon | NSR-2205i11D | Stepper 350nm, i-Line Stepper | 200 mm | 01.06.1998 | 1 | as is where is | ||
112256 | Nikon | NSR-2205i11D | Stepper 350nm, i-Line Stepper | 200 mm | 01.06.2000 | 1 | as is where is | ||
112257 | Nikon | NSR-S208D | Photolithographic exposure tool - KrF (248 nm) Scanner | 300 mm | 01.06.2011 | 1 | as is where is | immediately | |
112258 | Nikon | NSR-SF130 | Stepper 280nm, I-Line Stepper | 300 mm | 01.06.2005 | 1 | as is where is | ||
113286 | Nikon | S-207D | Stepper | 01.01.2005 | 1 | as is all rebuilt | immediately | ||
110729 | Nikon | NSR-S204B | Photo-lithography 248 NM DUV Scanner with photo-track | 300 MM | 01.06.2002 | 1 | as is where is | immediately | |
114327 | Nikon | NSR-2205i11C | I-line stepper | 200 mm | 01.01.1997 | 1 | as is where is | immediately | |
114328 | Nikon | NSR2205-i14E | I-line stepper | 200 mm | 01.06.1997 | 1 | as is where is | immediately | |
108965 | Nikon | A1R | Confocal Laser Scanning Microscope with Prior Proscan III automated stage | Laboratory | 1 | as is where is | immediately | ||
113320 | Nikon | NSR 2205 i14E2 | i line Stepper | 200 mm | 01.12.2007 | 2 | as is where is | immediately | |
18867 | NIKON | 204402 | Operations manual NSR-1755I7A | 1 | as is where is | immediately | |||
98484 | Nikon | ECLIPSE L150 | Microscope | 100/150mm | 1 | as is where is | immediately | ||
98485 | Nikon | Optiphot 150 | Microscope Inspection Station | 100/150mm | 3 | as is where is | immediately | ||
110791 | Nikon | OptiStation 3200 | Fully Automated Wafer Inspection Microscope | 300 mm | 3 | as is where is | immediately | ||
32206 | NIKON | RETICLE BOX 5 INCH | 5 INCH RETICLE BOX | 5 INCH | 2 | as is where is | immediately | ||
106708 | NIKON | AMI-3300 | Wafer Inspection System | 300 mm | 1 | as is where is | |||
114390 | Nikon | NSR-SF200 | DUV lithography exposure system | 300 mm | 1 | inquire | |||
113111 | NIKON | AMI-3300 | Wafer Inspection System | 300 mm | 1 | as is where is | |||
114391 | Nikon | FX-601F | Exposure system for flat-panel display use | FPD | 1 | inquire | |||
113881 | Nikon | Nikon NSR-2005i10 | I-line Stepper | 150 mm | 01.06.1995 | 1 | as is where is | ||
113114 | NIKON | NEXIV VMZ-R3020 | MICROSCOPE AUTOMATED MEASURING SYSTEMS | 200 mm-300 mm | 1 | as is where is | |||
113882 | Nikon | Nikon NSR-2005i10 | I-line Stepper | 150 mm | 01.06.1995 | 1 | as is where is | ||
113115 | NIKON | NSR-S203B | DUV scanner with Cymer ELS-5410 | 200 mm | 01.06.1999 | 1 | as is where is | ||
113883 | Nikon | Nikon NSR-2005i10 | I-line Stepper | 150 mm | 01.06.1995 | 1 | as is where is | ||
113884 | Nikon | Nikon NSR-2005i10 | I-line Stepper | 150 mm | 01.06.1996 | 1 | as is where is | ||
113885 | Nikon | Nikon NSR-2005i10 | I-line Stepper | 150 mm | 01.06.1996 | 1 | as is where is | ||
114397 | Nikon | NSR-1755i7A | I-line stepper | 1 | inquire | ||||
109278 | Nikon | NSR2205 i14E | i-line stepper | 200 mm | 01.05.2000 | 1 | as is where is | immediately | |
113886 | Nikon | Nikon NSR-2005i11 | I-line Stepper | 150 mm | 01.06.1996 | 1 | as is where is | ||
114398 | Nikon | NSR-1755i7A | I-line stepper | 1 | inquire | ||||
113887 | Nikon | Nikon NSR-2005i11 | I-line Stepper | 150 mm | 01.06.1996 | 1 | as is where is | ||
114399 | Nikon | NSR-SF130 | I-line scanner | 1 | inquire | ||||
109536 | Nikon | NSR-SF100 | i-line scan-field Stepper | 200 mm | 01.06.2003 | 1 | as is all rebuilt | immediately | |
113888 | Nikon | Nikon NSR-2005i11 | I-line Stepper | 150 mm | 01.06.1996 | 1 | as is where is | ||
114400 | Nikon | NSR-2205i12D | I-line stepper | 1 | inquire | ||||
113889 | Nikon | Nikon NSR-2005i11 | I-line Stepper | 150 mm | 01.06.1996 | 1 | as is where is | ||
114401 | Nikon | NSR-2205i12C | I-line stepper | 1 | inquire | ||||
113890 | Nikon | Nikon NSR-2005i11 | I-line Stepper | 150 mm | 01.06.1996 | 1 | as is where is | ||
114402 | Nikon | NSR-1775G7A | G-line stepper | 1 | inquire | ||||
112867 | Nikon | Eclipse ME600L | Microscope,BF/DF/DIC,Reflected Light | 1 | as is where is | ||||
113891 | Nikon | Nikon NSR-2005i12 | I-line Stepper | 150 mm | 01.06.2001 | 1 | as is where is | ||
114403 | Nikon | NSR-2005i8A | I-line stepper | 1 | inquire | ||||
108772 | Nikon | Optistation 3 | Wafer Inspection System | 150 mm | 01.05.1994 | 1 | as is where is | immediately | |
112868 | Nikon | MM-11 | Microscope, Bright & Dark Ref/Trans Light | 1 | as is where is | ||||
113892 | Nikon | Nikon NSR-2005i12 | I-line Stepper | 150 mm | 01.06.2006 | 1 | as is where is | ||
114404 | Nikon | NSR-S305 | DUV scanner | 300 mm | 1 | inquire | |||
111845 | Nikon | NSR-2205i12D | i-Line Stepper | 200mm | 1 | as is where is | |||
112869 | Nikon | MM-11B | Microscope, Ref/Trans Light | 1 | as is where is | ||||
113893 | Nikon | Nikon NSR-2005i9 | I-line Stepper | 150 mm | 01.06.1994 | 1 | as is where is | ||
114405 | Nikon | NSR-S208D | DUV scanner | 1 | inquire | ||||
112870 | Nikon | MM-11B | Microscope, Brightfield Ref/Trans Light | 1 | as is where is | ||||
113894 | Nikon | Nikon NSR-2005i9 | I-line Stepper | 150 mm | 01.06.1994 | 1 | as is where is | ||
114406 | Nikon | NSR-S208D | DUV scanner | 1 | inquire | ||||
111847 | Nikon | NSR-4425i | i-Line Wide-Field Stepper | 200mm | 1 | as is where is | |||
112871 | Nikon | OPTIPHOT 200 | Microscope, Bright & Dark | 1 | as is where is | ||||
113895 | Nikon | Nikon NSR-2005i9 | I-line Stepper | 150 mm | 01.06.1994 | 1 | as is where is | ||
114407 | Nikon | NSR-TFHi12(i12C) | I-line stepper | 1 | inquire | ||||
111592 | Nikon | 4S065-535-1 | Linear XY Stage Motor Amplifier | spares | 01.06.2020 | 1 | as is where is | immediately | |
112872 | Nikon | Optiphot 200 | Microscope,Bright & Dark,Reflected Light | 3 | as is where is | ||||
114408 | Nikon | NSR-TFHi14DL | I-line stepper | 1 | inquire | ||||
111849 | Nikon | NSR-SF110 | i-Line Wide-Field Stepper | 300mm | 1 | as is where is | |||
112873 | Nikon | XD-20 | Microscope,BF/DF/DIC/POL,Ref/Trans Light | 1 | as is where is | ||||
114409 | Nikon | NSR-S203B | DUV scanner | 1 | inquire | ||||
114410 | Nikon | NSR-S203B | DUV scanner | 1 | inquire | ||||
114411 | Nikon | NSR-S203B | DUV scanner | 1 | inquire | ||||
114412 | Nikon | NSR-S203B | DUV scanner | 1 | inquire | ||||
114413 | Nikon | NSR-2205i12D | I-line stepper | 200 mm | 01.03.1997 | 1 | inquire | immediately | |
114414 | Nikon | NSR-2205i12D | I-line stepper | 1 | inquire | ||||
114415 | Nikon | NSR-2205i12D | I-line stepper | 1 | inquire | ||||
91376 | NIKON | NSR-204B | Scanner DUV (GIGAPHOTON G20K2 KRF laser) | 200 mm | 1 | as is where is | |||
108784 | Nikon | Optistation 3A | Automatic Wafer Inspection Station | 200 mm | 01.05.1997 | 1 | as is where is | immediately | |
111344 | Nikon | NSR-S208D | 248 nm Lithography System | 300 mm | 01.06.2008 | 1 | as is where is | immediately | |
114416 | Nikon | NSR-S308F | DUV scanner | 300 mm | 1 | inquire | |||
91377 | NIKON | NSR-S204B | 248 nm (KrF) excimer exposure system | 200 mm | 01.06.2000 | 1 | as is where is | immediately | |
108785 | NIKON | Optiphot 200 (For spares use) | Wafer Inspection Microscope (Parts Tool Only) | 200 mm | 1 | as is where is | immediately | ||
111345 | Nikon | NSR-S208D | 248 nm Lithography System | 300 mm | 01.06.2011 | 2 | as is where is | immediately | |
114417 | Nikon | NSR-S308F | DUV scanner | 300 mm | 1 | inquire | |||
108789 | Nikon | Optiphot 200 | Wafer Inspection Microscope | 200 mm | 1 | as is all rebuilt | immediately | ||
108790 | NIKON | Optiphot 88 | Wafer Inspection Microscope | 200 mm | 1 | as is where is | immediately | ||
105867 | Nisshin | 7 kgf/cm2 | pressure gauge | spares | 1 | as is where is | immediately | ||
105868 | Nisshin | 4 kgf/cm2 | pressure gauge | spares | 1 | as is where is | immediately | ||
105869 | Nisshin | 0-76 cmHg | vacuum gauge | spares | 1 | as is where is | immediately | ||
105870 | Nisshin | 0-1 kgf/cm2 | pressure gauge | spares | 1 | as is where is | immediately | ||
111104 | Nissin | EE04537 | Arc Terminal Fitting - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) | Spares | 5 | inquire | |||
111105 | Nissin | EE11140-0 | Aperture (1) 40mm*38mm - 3000 3000(W) | Spares | 5 | inquire | |||
111106 | Nissin | 17107405-A | Beam Dump Tile - 3000 3000(W) | Spares | 5 | inquire | |||
111107 | Nissin | EE05017-0 | Gas Spacer - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) | Spares | 5 | inquire | |||
108911 | Nissin | Exceed 3000AH | Medium Current Implanter | 300 mm | 01.05.2007 | 1 | as is where is | immediately | |
111232 | NISSIN | SOK30-2070 | Crucible - 2300&3000 2300 (2nd) 3000 (W) | Spares | 5 | inquire | |||
111233 | NISSIN | SOK30-2015 | Gas Nozzle - 2300 2300 (Nissin) | Spares | 5 | inquire | |||
111234 | NISSIN | EE10918-0 | Aperture - 3000 3000(W) | Spares | 5 | inquire | |||
111235 | NISSIN | SOK30-2109 | I/S Suppression Cable - 2300&3000 2300 (2nd) 3000 (W) | Spares | 5 | inquire | |||
111236 | NISSIN | SOK30-2001 | Arc Chamber Plate, Upper - 2300 2300 (Nissin) | Spares | 5 | inquire | |||
93833 | Nissin | Exceed 2000 | Medium Current Ion Implanter | 3, 4 and 5 inch | 01.05.1997 | 1 | as is where is | immediately | |
114331 | Nissin | Exceed 2000A | Medium Current Ion Implanter | 200 mm | 01.06.1999 | 1 | as is where is | ||
114332 | Nissin | Exceed 2000A | Medium Current Ion Implanter | 200 mm | 01.06.2000 | 1 | as is where is | ||
114333 | Nissin | Exceed 2000AH | Medium Current Ion Implanter | 200 mm | 01.06.1998 | 1 | as is where is | ||
111265 | NISSIN | SOK30-2035 | Reflector 2 - 2300 2300 (Nissin) | Spares | 5 | inquire | |||
111266 | NISSIN | SOK30-2091 | Electrode 2 - 2300 2300 (Nissin) | Spares | 5 | inquire | |||
111267 | NISSIN | SOK30-2061 | Oven Cap - 2300&3000 2300 (2nd) 3000 (W) | Spares | 5 | inquire | |||
111268 | NISSIN | SOK30-2068 | Oven Cap - 2300&3000 2300 (2nd) 3000 (W) | Spares | 5 | inquire | |||
111269 | NISSIN | SOK30-2097 | Insulator - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) | Spares | 5 | inquire | |||
111270 | NISSIN | SOK30-2096 | Electrode Spacer B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) | Spares | 5 | inquire | |||
111271 | NISSIN | SOK30-2133 | Gas Nozzle (4) - 3000 3000(Mo) | Spares | 5 | inquire | |||
111272 | NISSIN | SOK30-2141 | Spacer C - 3000 3000(MO) | Spares | 5 | inquire | |||
111273 | NISSIN | SOK30-2137 | Electrode 2 - 3000 3000(MO) | Spares | 5 | inquire | |||
111274 | NISSIN | EE-04973 | Sheath Nut - 3000 3000(MO) 3000(W) | Spares | 5 | inquire | |||
111275 | NISSIN | SOK30-2124 | Insulation Ring (1-4) - 3000 3000(MO) 3000(W) | Spares | 5 | inquire | |||
111276 | NISSIN | SOK30-2123 | Reflector (4) - 3000 3000(MO) 3000(W) | Spares | 5 | inquire | |||
111277 | NISSIN | SOK30-2125 | Insulation Ring (2-4) - 3000 3000(MO) 3000(W) | Spares | 5 | inquire | |||
111278 | NISSIN | SOKS03-2018 | Arc Chamber Side Plate A - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) | Spares | 5 | inquire | |||
111279 | NISSIN | SOKS03-2019 | Arc Chamber Side Plate B - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) | Spares | 5 | inquire | |||
111280 | NISSIN | EE04973-0 | Sheath Nut - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) | Spares | 5 | inquire | |||
111281 | NISSIN | EE05018-0 | Spacer F - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) | Spares | 5 | inquire | |||
111282 | NISSIN | EE03932-1 | Arc Chamber - All Common 3000 (W) 9600 (IHC-R2) | Spares | 5 | inquire | |||
111089 | Nissin | SOK30-2140 | Electrode 5 - 3000 3000(Mo) | Spares | 5 | inquire | |||
111090 | Nissin | SOK30-2094 | Electrode 5 - 2300&3000 (W) 2300 (Nissin) | Spares | 5 | inquire | |||
111091 | Nissin | SOK30-2093 | Electrode 4 - 2300&3000 (W) 2300 (Nissin) | Spares | 5 | inquire | |||
111092 | Nissin | SOK11-0112 | Insulation Ring 1B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) | Spares | 5 | inquire | |||
111093 | Nissin | SOK30-2139 | Electrode 4 - 3000 3000(Mo) | Spares | 5 | inquire | |||
111094 | Nissin | SOK11-0123 | Filament Feedthrough 1B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) | Spares | 5 | inquire | |||
111095 | Nissin | SOK11-0111 | Insulation Ring 1A - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) | Spares | 5 | inquire | |||
111096 | Nissin | SOK11-0122 | Filament Feedthrough 1A - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) | Spares | 5 | inquire | |||
111097 | Nissin | NIE79483-2 | Carbon Lining 2 - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) | Spares | 5 | inquire | |||
111098 | Nissin | EE05021-0 | Insulation Base (A) - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) | Spares | 5 | inquire | |||
111099 | Nissin | NIE47348-2-B | Aperture (2) : B Type - 2300&3000 2300 (2nd) 3000 (W) | Spares | 5 | inquire | |||
111100 | Nissin | SOK30-2142 | Electrode 6 - 3000 3000(Mo) | Spares | 5 | inquire | |||
111101 | Nissin | SOK40-2031 | Insulator (A) - 9600&3000 9600 (IHC-R2) 3000 (IHC-R2) | Spares | 5 | inquire | |||
111102 | Nissin | SOK30-2089 | Electrode 1-A - 2300 2300 (Nissin) | Spares | 5 | inquire | |||
111103 | Nissin | NIE48864-1-B | Beam Dump Tile - 2300 2300 (2nd) | Spares | 5 | inquire | |||
83906 | NITROGEN | PRESSURE VALVE | 30.11.1992 | 1 | as is where is | immediately | |||
83628 | NITSUKO | BCR 2600/BCV 5050 | POWER SUPPLY | 3 | as is where is | immediately | |||
108099 | Nitto | N286M | Wafer Mounter | 0 | as is where is | ||||
110688 | NITTO | DR8500 II | Wafer Taper | 200 mm | 01.06.1996 | 1 | as is where is | immediately | |
110689 | NITTO | HR8500 II | Wafer De-Taper | 200 mm | 01.06.1996 | 1 | as is where is | immediately | |
112259 | Nitto | DR3000IV | Nitto Backside Taper | 300 mm | 01.06.2022 | 1 | as is where is | ||
112260 | Nitto | MA3000II | Backside Wafer Taper | 300 mm | 01.06.2022 | 1 | as is where is | ||
83597 | Nitto | TT1R2-1 | teach pendant for robot TT1R2-1 | spares | 1 | as is where is | immediately | ||
114334 | Nitto | DR8500-II | Wafer Taper | 200 mm | 01.06.2000 | 1 | as is where is | ||
98486 | Nitto | MA 3000 II | Wafer tape mounter and demounter | 200 mm/300 mm | 01.03.2007 | 1 | as is where is | immediately | |
32210 | Nitto | HR8500-2 | INSTRUCTION MANUAL | MANUAL | 1 | as is where is | immediately | ||
32212 | Nitto | DR8500-2 | INSTRUCTION MANUAL | MANUAL | 2 | as is where is | |||
32214 | Nitto | 44941001 | TAPE SPOOL | PARTS | 2 | as is where is | immediately | ||
32216 | Nitto | 1603502 | TAPE SPOOL | PARTS | 1 | as is where is | |||
114396 | Nitto | NEL-D304 | Wafer Detaper | 1 | inquire | ||||
70301 | NK | RM 120 | REAL TIME MONITOR | SPARES | 1 | as is where is | immediately | ||
114522 | NOMURA | NDB4 | CO2 BUBBLER | Assembly | 1 | as is where is | |||
114523 | NOMURA | NDB3 | CO2 BUBBLER | Assembly | 1 | as is where is | |||
110347 | Nordson | Matchbox | Match | SPARES | 1 | inquire | |||
110348 | Nordson | Matchbox | Match | SPARES | 1 | inquire | |||
110349 | Nordson | Matchbox | Match | SPARES | 1 | inquire | |||
110350 | Nordson | Matchbox | Match | SPARES | 1 | inquire | |||
110351 | Nordson | Matchbox | Match | SPARES | 1 | inquire | |||
110352 | Nordson | Matchbox | Match | SPARES | 1 | inquire | |||
110353 | Nordson | Matchbox | Match | SPARES | 1 | inquire | |||
110354 | Nordson | Matchbox | Match | SPARES | 1 | inquire | |||
110355 | Nordson | Matchbox | Match | SPARES | 1 | inquire | |||
110356 | Nordson | Matchbox | Match | SPARES | 1 | inquire | |||
110357 | Nordson | Matchbox | Match | SPARES | 1 | inquire | |||
114335 | Nordson | AP1000 | Plasma Treatment System | 200 mm | 01.03.2019 | 1 | as is where is | ||
109495 | NORDSON | CW2 | RF GENERATOR | Spares | 1 | as is where is | |||
109496 | NORDSON | DSS CW2 V2 | RF GENERATOR | Spares | 1 | as is where is | |||
112621 | Nordson | B Series 4 | Plasma treatment system | 1 | as is where is | immediately | |||
113976 | Nordson / Dage | XD7600NT | X-Ray Inspection System | 1 | inquire | ||||
83937 | Norgren | M/50/EAN/5V | electronic switch | 1 | as is where is | immediately | |||
108033 | NOVA | NOVASCAN 3090 P/N 390-10000-11 | CMP metrology system | 300 mm | 1 | as is where is | immediately | ||
109220 | Nova | V2600 | Integrated CMP Endpoint / Film Measurement | 300mm | 1 | as is where is | |||
108967 | NOVA | T600 MMSR | Ellipsometer for CD and thin film measurements | 300 mm | 01.01.2018 | 1 | as is where is | immediately | |
109283 | NOVA MEASURING | Novascan | Novascan CMP Endpoint measurement unit | 200 mm | 01.06.2000 | 1 | as is where is | immediately | |
109284 | NOVA MEASURING | Novascan | Novascan CMP Endpoint measurement unit | 200 mm | 01.06.2000 | 1 | as is where is | immediately | |
70300 | Novascan | 945-00666-00 | HALOGEN LAMP | SPARES | 2 | as is where is | immediately | ||
111366 | Novellus | Concept Two Altus W-CVD | Nitride 2 Chamber | 1 | as is where is | immediately | |||
90146 | NOVELLUS | GAMMA 2100 | asher / PR STRIP | 30.11.2002 | 1 | as is where is | immediately | ||
108581 | NOVELLUS | MB2 | Metal PVD System | 200 mm | 01.05.1994 | 1 | as is where is | immediately | |
108582 | NOVELLUS | MB2 | Metal PVD System | 200 mm | 01.05.1994 | 1 | as is where is | immediately | |
108583 | NOVELLUS | MB2 | Metal PVD System | 200 mm | 01.05.1994 | 1 | as is where is | immediately | |
113977 | Novellus | SABRE XT | Electro-chemical deposition (For Copper). | 200 MM | 1 | as is where is | immediately | ||
91220 | NOVELLUS | CONCEPT 3 SPEED | NEXT | 300 mm | 31.05.2004 | 1 | as is where is | ||
91221 | NOVELLUS | CONCEPT 3 SPEED | NEXT | 300 mm | 31.05.2006 | 1 | as is where is | ||
91223 | NOVELLUS | CONCEPT 3 SPEED | NEXT | 300 mm | 31.05.2005 | 1 | as is where is | ||
103545 | NOVELLUS | CONCEPT 3 INOVA | NExT | 300 mm | 1 | as is where is | |||
112261 | Novellus | C3 Altus | CVD W | 300 mm | 01.06.2007 | 1 | as is where is | ||
112262 | Novellus | C3 Altus | CVD WCVD | 300 mm | 01.06.2005 | 1 | as is where is | ||
112263 | Novellus | C3 Altus | CVD WCVD | 300 mm | 01.06.2006 | 1 | as is where is | ||
112264 | Novellus | C3 Speed | CVD | 300 mm | 01.06.2002 | 1 | as is where is | ||
112265 | Novellus | C3 Speed NeXT | CVD HDP | 300 mm | 01.06.2006 | 1 | as is where is | ||
112266 | Novellus | Gamma2130 | Asher | 300 mm | 01.06.2005 | 1 | as is where is | ||
112267 | Novellus | Inova | PVD | 200 mm | 01.06.1999 | 1 | as is where is | ||
112268 | Novellus | Inova Chamber | PVD | 300 mm | 01.06.2012 | 1 | as is where is | ||
112269 | Novellus | Inova Chamber | PVD | 300 mm | 01.06.2012 | 1 | as is where is | ||
112270 | Novellus | Inova NExT | PVD | 300 mm | 01.06.2013 | 1 | as is where is | ||
112271 | Novellus | Inova XT | Al PVD | 300 mm | 1 | as is where is | |||
112272 | Novellus | Sabre Extreme | ECD Electroplating | 300 mm | 01.06.2008 | 1 | as is where is | ||
112273 | Novellus | Sabre XT | ECD Electroplating | 200 mm | 01.06.1999 | 1 | as is where is | immediately | |
112274 | Novellus | Vector Express AHMS | CVD – Ashable Hard Mask | 300 mm | 01.06.2011 | 1 | as is where is | ||
112275 | Novellus | Vector SOLA xT | CVD CVD | 300 mm | 01.06.2011 | 1 | as is where is | ||
108191 | NOVELLUS | 676 | CMP System Polisher | 200 mm | 01.06.1997 | 1 | as is where is | ||
114336 | Novellus | C2 | CVD, Dual Sequel Shrink TEOS | 200 mm | 01.06.2000 | 1 | as is where is | ||
114337 | Novellus | C2 | CVD, Dual Sequel Shrink TEOS | 200 mm | 01.06.2000 | 1 | as is where is | ||
109497 | NOVELLUS | TOP MATCH | RF GENERATOR | Spares | 1 | as is where is | immediately | ||
108475 | Novellus | SABRE 3D | ECD (Electro Chemical Deposition) | 300 mm | 1 | as is where is | |||
108477 | Novellus | VECTOR SOLA UV Cure | UV Curing system | 300 mm | 01.06.2006 | 2 | as is where is | immediately | |
114132 | Novellus | VECTOR Extreme | PECVD (Chemical Vapor Deposition) | 1 | as is where is | ||||
106710 | NOVELLUS | VECTOR | TEOS CVD SYSTEM | 300 mm | 01.06.2003 | 1 | as is where is | ||
106711 | NOVELLUS | VECTOR | TEOS CVD SYSTEM | 300 mm | 01.06.2004 | 1 | as is where is | ||
109288 | Novellus | C3 Vector UV Cure | UV Cure | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
111854 | Novellus | Concept Three Altus Max | WCVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | |||
111855 | Novellus | Concept Three Altus Max | WCVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | |||
111374 | NP Test | NPR-505L | Power Plasma Reactor | 1 | as is where is | immediately | |||
111375 | NP Test | NPR-507L | Power Plasma Reactor | 1 | as is where is | immediately | |||
109498 | NPP | NPG-15KM | RF GENERATOR | Spares | 10 | as is where is | |||
109499 | NPP | NPG-3KG | RF GENERATOR | Spares | 1 | as is where is | |||
109500 | NPP | NPG-8000H | RF GENERATOR | Spares | 2 | as is where is | |||
109501 | NPP | NPG-8000H(VER 04) | RF GENERATOR | Spares | 2 | as is where is | |||
109502 | NPP | NPG-8000H(VER 05) | RF GENERATOR | Spares | 3 | as is where is | |||
109503 | NPP | NPG-8000H(VER 06) | RF GENERATOR | Spares | 4 | as is where is | |||
109504 | NPP | NPG-8000H(VER 08) | RF GENERATOR | Spares | 1 | as is where is | |||
109505 | NPP | NPM-10KCSM | RF GENERATOR | Spares | 4 | as is where is | |||
109506 | NPP | NPM-10KCSMD | RF GENERATOR | Spares | 3 | as is where is | |||
109507 | NPP | NPM-1250M | RF GENERATOR | Spares | 3 | as is where is | |||
109508 | NPP | NPM-1250X | RF GENERATOR | Spares | 8 | as is where is | |||
109509 | NPP | NPM-2KEM | RF GENERATOR | Spares | 1 | as is where is | |||
109510 | NPP | NPM-3KCAT | RF GENERATOR | Spares | 1 | as is where is | |||
109511 | NPP | NPR-804L | RF GENERATOR | Spares | 26 | as is where is | |||
109512 | NPP | NPR-804LJ | RF GENERATOR | Spares | 2 | as is where is | |||
109513 | NPP | NPRLC-400 | RF GENERATOR | Spares | 10 | as is where is | |||
83552 | NSK GLOBAL LTD | MAEBASHI PLANT | BALL SCREW | W1201-112P | 28.02.2005 | 4 | as is where is | immediately | |
114388 | NTC | Komatsu PV500FD | Diamond Wire Saw | Substrate | 16 | as is where is | immediately | ||
103384 | Nuclear Elettronica | 314E 5/12 - 6/2 rev A | Power Supply, 5V/12A - 6V/2A | Spares | 14 | as is where is | immediately | ||
103385 | Nuclear Elettronica | 314E.100 REV A | Power Supply, 5V/20A | Spares | 10 | as is where is | immediately | ||
103387 | Nuclear Elettronica | 312E.44L REV A | Dual Power Supply, +/- 15V / 1A | Spares | 4 | as is where is | immediately | ||
83567 | Numatics | 225-272B | Solenoid Valve | 01.04.2009 | 1 | as is where is | |||
74178 | Numatics | 12DSA4 OA00030 | Solenoid Valve 120V | 01.04.2009 | 1 | as is where is | |||
74179 | Numatics | 227-833B | valve | 3 | as is where is | ||||
74180 | Numatics | L22L-03 | FILTER PNEUMATIC | 01.01.2011 | 1 | as is where is | |||
83905 | NUPRO | SS-4BK-V51 | REGULATOR PRESSURE | Spares | 1 | as is where is | immediately | ||
83914 | NUPRO | SS-4R3A1- | TUBE FITTINGS & VALVE | 1 | as is where is | immediately | |||
83916 | NUPRO | 7 MICRON | 1 | as is where is | immediately | ||||
83917 | NUPRO | 107 | 1 | as is where is | immediately | ||||
108856 | OAI | Hybralign 400 | Mask Aligner, for up ro 200mm Wafers | 200 MM | 1 | inquire | |||
113978 | OAI | 806 MBA | Mask Aligner | 150 mm | 1 | inquire | |||
113979 | OAI | Hybralign 200 | Mask Aligner (TSA) | 200 mm | 1 | inquire | |||
113896 | OEM | HEATPULSE 4100 | TF RTP ANNEALING | 150 mm | 01.06.1994 | 1 | as is where is | ||
113897 | OEM | HEATPULSE 4100 | TF RTP ANNEALING | 150 mm | 01.06.1994 | 1 | as is where is | ||
113898 | OEM | HEATPULSE 4100 | TF RTP ANNEALING-R&D | 150 mm | 01.06.1996 | 1 | as is where is | ||
103439 | Oerlikon | Clusterline 200 | PVD cluster tool with 6 chambers (Used for Al, Ti, NiV and AG) | 200 mm | 01.05.2005 | 1 | as is where is | immediately | |
114242 | OERLIKON | Clusterline 200 | PVD cluster tool with 6 chambers | 200 mm | 2 | as is where is | immediately | ||
112874 | Oerlikon Leybold | D16B | Mechanical Pump | Pump | 2 | as is where is | |||
112875 | Oerlikon Leybold | D16BCS | Mechanical Pump | Pump | 3 | as is where is | |||
112876 | Oerlikon Leybold | D25B | Mechanical Pump | Pump | 11 | as is where is | |||
112877 | Oerlikon Leybold | Sogevac SV25 B | Mechanical Pump | Pump | 1 | as is where is | |||
112878 | Oerlikon Leybold | Trivac D8B | Mechanical Pump | Pump | 1 | as is where is | |||
109058 | Olympus | AL110N-LMB6 | Microscope autoloader only (Not including the microscope) | 100 MM AND 150 mm | 1 | as is where is | immediately | ||
111400 | Olympus | KLA 1500 LCD | Cold light source with ring light and Adapter ring for different microscope sizes | Assembly | 4 | as is where is | immediately | ||
111401 | Olympus | Highlight 2100 | Cold light source with ring light | Assembly | 1 | as is where is | immediately | ||
111402 | Olympus | Highlight 2001 | Cold light source with ring light | Assembly | 1 | as is where is | immediately | ||
108857 | OLYMPUS | AL100-L8 | Wafer Loader, 200mm, Parts Tool | 200 MM | 1 | inquire | |||
106825 | Olympus | AL110-LMB6 with MX51 | wafer loader with Microscope | 150mm | 1 | as is where is | immediately | ||
110697 | Olympus | AL100-LB6 | Wafer autoloader (Not including microscope) | 100MM TO 150 MM | 2 | as is where is | immediately | ||
114538 | Olympus | OLS3000 | Microscope | Assembly | 1 | as is where is | |||
83835 | Olympus | WHK 10X/20L-H | EYEPIECES FOR TRINOCULAR MICROSCOPE | Spares | 2 | as is where is | immediately | ||
80254 | OLYMPUS | DBAP-FA-Z | SERVO DRIVER | 30W 500 PPR | 1 | as is where is | immediately | ||
65934 | Olympus | BH2-UMA | BRIGHTFIELD / DARKFIELD REFLECTED LIGHT ILLUMINATOR FOR THE BH SERIES MICROSCOPES | SPARES | 1 | as is where is | immediately | ||
114338 | Olympus | TH3 Microscope | Inspection Microscope | N/A | 1 | as is where is | |||
114339 | Olympus | OLS4000 | 3D Measurement Microscope | N/A | 01.06.2007 | 1 | as is where is | ||
114340 | Olympus | OLS5000 | 3D Measurement Microscope | N/A | 01.06.2007 | 1 | as is where is | ||
106190 | Olympus | LH50A | Microscope illuminator, 50W 12 V | spares | 1 | as is where is | immediately | ||
111568 | Olympus | AL100-LB6 with BH3-MJL | Wafer autoloader with microscope | 100MM TO 150 MM | 1 | as is where is | immediately | ||
111569 | Olympus | AL100-LB6 with MX61L | Wafer autoloader with microscope | 100MM TO 150 MM | 1 | as is where is | immediately | ||
106201 | Olympus | BH3 (Parts) | Camera adapter and illuminator for Microscope | spares | 01.06.2005 | 1 | as is where is | immediately | |
109035 | Olympus | AL110N-LMB6 | Wafer Inspection Microscope with autoloader | 100 MM AND 150 mm | 1 | as is where is | immediately | ||
102638 | Olympus | BA124L001 | DC MOTOR W/ D500 GEAR HEAD | spares | 1 | as is where is | immediately | ||
102639 | Olympus | BA124L001 | DC MOTOR W/ D500 GEAR HEAD | spares | 1 | as is where is | immediately | ||
112879 | Olympus | AX70 | Microscope,Bright & Dark,Hg Reflected Lt | 1 | as is where is | ||||
112880 | Olympus | BH2 BHT | Microscope,Brightfield,Ref/Trans Light | 1 | as is where is | ||||
112881 | Olympus | BH2-UMA | Microscope,BF/DF/DIC,Reflected Light | 1 | as is where is | ||||
108786 | OLYMPUS | BH-BHM | Wafer Inspection Microscope | 150 mm | 1 | as is where is | immediately | ||
112882 | Olympus | MX50-AF | Microscope,Bright & Dark,Reflected Light | 2 | as is where is | ||||
112883 | Olympus | MX50-CF | Microscope,BF/DF/DIC,Hg Reflected Lt | 1 | as is where is | ||||
112884 | Olympus | MX50A-F | Microscope,BF/DF/DIC,Reflected Light | 1 | as is where is | ||||
112885 | Olympus | MX50T-F | Microscope, Brightfield | 1 | as is where is | ||||
112886 | Olympus | MX50T-F | Microscope,Bright & Dark,Reflected Light | 1 | as is where is | ||||
112887 | Olympus | STM6-F00-3 | Microscope, Brightfield Reflected Light | 1 | as is where is | ||||
80247 | Olympus Optical | DBAP-FA-Z GA | Servo Driver | 1 | as is where is | immediately | |||
106896 | Omniguard | 860UV-IR | UV-IR Fire detector, w/ mount | Spares | 2 | as is where is | immediately | ||
13195 | OMRON | r88d-ua02ha | servo driver | 01.12.2003 | 1 | ||||
84386 | OMRON | E3C-DM2R 2 M | PHOTOELECTRIC SWITCH | 2 m | 3 | as is where is | immediately | ||
84395 | OMRON | E3C-C | PHOTOELECTRIC SWITCH | 1 | as is where is | immediately | |||
84396 | OMRON | E5CJ | TEMPERATURE CONTROLLER | MULTI RANGE | 1 | as is where is | immediately | ||
84397 | OMRON | E3S-X3CE4 | TEMPERATURE CONTROLLER | 2 | as is where is | immediately | |||
83886 | OMRON | TL-W5WC2 | PROXIMITY SWITCH | 1 | as is where is | immediately | |||
84398 | OMRON | E3XR-CE4 | TEMPERATURE CONTROLLER | 2 | as is where is | immediately | |||
84399 | OMRON | E2E-C1C1 | PROXIMITY SWITCH | 1 | as is where is | immediately | |||
84400 | OMRON | E3S-XE1 | PHOTO ELECTRIC SWITCH | 1 | as is where is | immediately | |||
84401 | OMRON | E3C-JC4P | PHOTO ELECTRIC SWITCH | 1 | as is where is | immediately | |||
84402 | OMRON | E3X-A11 | PHOTO ELECTRIC SWITCH | 1 | as is where is | immediately | |||
84403 | OMRON | E3HT--DS3E2 | PHOTO ELECTRIC SENSOR | 1 | as is where is | immediately | |||
84404 | OMRON | E3C--JC4 | AMPLIFIER UNIT | 1 | as is where is | immediately | |||
84405 | OMRON | EE-SPW321 | PHOTO MICROSENSOR | 1 | as is where is | immediately | |||
84406 | OMRON | E32-TC200A | PHOTO ELECTRIC SWITCH | 1 | as is where is | immediately | |||
108858 | ON SITE GAS SYSTEMS | N-20 | N2 Generator with 100 Gallon Storage Tank | FACILITIES | 1 | inquire | |||
21666 | ONE AC CORP | FMV 321S | TRANSFORMER FOR KLA 7700 SERIES PSU | SPARES | 01.02.1996 | 1 | inquire | immediately | |
103547 | ONTO | WV320 | MACRO INSPECTING | 300 mm | 31.05.2004 | 1 | as is where is | ||
114250 | ONTRAK | DSS-200 | Post CMP scrubber | 01.01.1995 | 1 | as is where is | immediately | ||
112888 | Opti Temp Inc. | OTC-.5A | Chiller | Chiller | 1 | as is where is | |||
112276 | OPTO SYSTEM | WDS2200 | LED Chip Sorter | 50 mm-150 mm | 01.06.2007 | 1 | as is where is | ||
112277 | OPTO SYSTEM | WMSS2000 | LED Chip Probing and Sorter | 50 mm-150 mm | 01.06.2008 | 1 | as is where is | ||
113980 | Optorun | OWLS-1800D | Optical Sputter Coater System | 1 | inquire | ||||
21123 | ORIEL | 68805 | POWER SUPPLY 40-200 WATTS FOR MERCURY ARC LAMP | FACILITIES | 01.06.1992 | 1 | as is where is | immediately | |
83620 | ORIEL | 68805 | ULTRAVIOLET LIGHT - LAMP HOUSING | SPARES | 1 | as is where is | immediately | ||
13066 | ORIENTAL MOTOR | SEE COMMENTS | GEAR HEADS | 7 | as is where is | immediately | |||
15900 | ORIENTAL MOTOR | 21K6GK-A2 | INDUCTION MOTOR | 2 | as is where is | immediately | |||
83805 | ORIENTAL MOTOR | 21K6GN-A | TW9 50602 | 1 | as is where is | immediately | |||
83806 | ORIENTAL MOTOR | 51K40GN-AT | 1 | as is where is | immediately | ||||
83807 | ORIENTAL MOTOR | PB204-101 | 2 | as is where is | immediately | ||||
83808 | ORIENTAL MOTOR | 5rk40gk-a2 | MOTOR ELECTRIC | 1 | as is where is | immediately | |||
83809 | ORIENTAL MOTOR | 2IK6GK-A | MOTOR ELECTRIC | 01.12.1993 | 1 | as is where is | immediately | ||
83811 | ORIENTAL MOTOR | XU9 | MOTOR ELECTRIC FO FR CARRIER MOTOR | 1 | as is where is | immediately | |||
83823 | ORIENTAL MOTOR | 4GK15K | MOTOR ELECTRIC | 1 | as is where is | immediately | |||
83825 | ORIENTAL MOTOR | MBM425-411 | SPEED CONTROL MOTOR | 1 | as is where is | immediately | |||
83834 | ORIENTAL MOTOR | PH596-A | STEPPING MOTOR | SPARES | 1 | as is where is | immediately | ||
13206 | ORIENTAL MOTOR | 4LF45N-2 | LINEAR HEAD | SPARES | 1 | as is where is | immediately | ||
83875 | ORIENTAL MOTOR | UPH564-A | 5 PHASE STEPPING MOTOR | SPARES | 1 | as is where is | immediately | ||
83629 | ORIENTAL MOTOR | 4RK25RGK-AM | REVERSIBLE MOTOR | SPARES | 1 | as is where is | immediately | ||
83893 | ORIENTAL MOTOR | 4GN30K | GEAR HEAD | 1 | as is where is | immediately | |||
69817 | Oriental Motor | VEXTA PK564-NAC | Brand New 5-Phase Stepping Motor, 0.72 degree/step, with driver unit | Spares | 01.06.1996 | 1 | as is where is | immediately | |
13014 | Oriental Motor | 5RK40RGK-AM | REVERSIBLE MOTOR, 40W 100V 50/60 HZ | Spares | 01.06.2000 | 1 | as is where is | ||
108859 | ORTHODYNE | 20B | Heavy Wire Bonder | ASSEMBLY | 1 | inquire | |||
112889 | Osaka Vacuum | TC1100 | Turbo Pump Controller | Pump | 1 | as is where is | |||
112890 | Osaka Vacuum | TG 56CA | Turbomolecular Pump | Pump | 1 | as is where is | |||
112891 | Osaka Vacuum | TG2003MCA | Turbomolecular Pump | Pump | 1 | as is where is | |||
112892 | Osaka Vacuum | TH1502 | Turbomolecular Pump | Pump | 1 | as is where is | |||
112893 | Osaka Vacuum | TH542 | Turbomolecular Pump | Pump | 1 | as is where is | |||
109069 | OSCOR | Blue 24 GHz | Specrum Analyzer | 0 | as is where is | immediately | |||
112894 | Osiris International | DEFIXX 15m | Wafer Debonding Tool -- Manual 0 150mm | 150 mm | 1 | as is where is | |||
109595 | Oxford | NGP 1000 | PECVD | 150 mm | 01.06.2012 | 1 | inquire | ||
109097 | Oxford | Plasmalab System 100 | PECVD TEOS with Load Lock | 200 mm | 01.05.2001 | 1 | as is where is | immediately | |
113981 | Oxford | Plasmalab 100 ICP 180 | Dry Etcher | 200 mm | 1 | inquire | |||
99398 | Oxford | Micro-etch 300 | Dry Etcher | 1 | as is where is | immediately | |||
91329 | OXFORD | 800+ | RIE (Reactive Ion Etcher) | 1 | as is where is | immediately | |||
113117 | OXFORD | 800 | RIE | 125 mm | 1 | as is where is | |||
111600 | Oxford | Plasmalab 100 | Laboratory PECVD and ICP/RIE tool with 2 chambers and a loadlock | 150 mm | 1 | as is where is | immediately | ||
111358 | OXFORD | Plasmalab 100 | ICP Etcher | 1 | as is where is | immediately | |||
110358 | Oxford Instruments | OPT AMU | Match | SPARES | 1 | inquire | |||
112600 | Oxford Instruments | OpAL | Laboratory ALD tool used for Al2O3 deposition | up to 200 mm | 01.06.2016 | 1 | as is where is | immediately | |
112895 | Oxford Instruments | Plasmalab System 100 | pecvd teos with load lock | 1 | as is where is | ||||
111372 | Oxford Plasmalab | ICP 380 | 1 | as is where is | immediately | ||||
111373 | Oxford Plasmalab | 100 PECVD | Etcher Asher | 1 | as is where is | immediately | |||
80259 | PALL | GLF6101VF4 | GASKLEEN GAS FILTER | 6 | as is where is | immediately | |||
83908 | PALL | GLF6101VF4 | GAS FILTER | 1 | as is where is | immediately | |||
103819 | Palomar | 3500-III | Die Bonder | Assembly | 1 | as is where is | immediately | ||
113293 | Panalytical | X-PERT PRO | XRD | Laboratory | 01.06.2008 | 1 | as is where is | immediately | |
114452 | PANASONIC | DM60M-H | DIE BONDER | Assembly | 2 | as is where is | |||
83824 | PANASONIC | MF A 020LATNP | AC SERVO MOTOR | SPARES | 1 | as is where is | immediately | ||
77202 | Panasonic | M91C90GD4W1 | AC Geared Motor (G Series) | spares | 01.12.2008 | 1 | as is where is | immediately | |
83838 | PARKER | 60 SERIES | QUICK COUPLING FEMALE COUPLER BH4-60 | Spares | 9 | as is where is | immediately | ||
84058 | PATLITE | SEFW-A | SIGNAL TOWER | 1 | immediately | ||||
109514 | PEARL | GEN 80-19 | RF GENERATOR | Spares | 1 | as is where is | |||
109515 | PEARL | M-30A2S-V | RF GENERATOR | Spares | 1 | as is where is | |||
109516 | PEARL | RP-3000-100MK-PS | RF GENERATOR | Spares | 13 | as is where is | |||
109517 | PEARL | RP-8000-2M | RF GENERATOR | Spares | 1 | as is where is | |||
109518 | PEARL | ZDK-926-100M | RF GENERATOR | Spares | 13 | as is where is | |||
108861 | PEARL KOGYO | ZDK-916L2X | Automatch Controller, Brand New, 4ea Available | SPARES | 1 | inquire | |||
84232 | Pepperl Fuchs | OBE5000-18GM70-SE5 | proximity sensor | Spares | 1 | as is where is | immediately | ||
84234 | pepperl&fuchs | OBE5000-18GM70-E5-V1 | Proximity sensor | Spares | 1 | as is where is | immediately | ||
111382 | PERKIN ELMER | 2400 | Sputtering system | 1 | as is where is | immediately | |||
113982 | Perkin Elmer | 4410 | Sputtering System | 1 | inquire | ||||
111357 | PERKIN ELMER | 2400-8SA | Sputter system | 1 | as is all rebuilt | ||||
108862 | PERKIN-ELMER | 2400 | Sputtering System | 1 | inquire | ||||
108636 | PFEIFFER | ADS602P TOP | Vacuum Pump | PUMP | 2 | as is where is | |||
108637 | PFEIFFER | ADS602P | Vacuum Pump | PUMP | 1 | as is where is | |||
108638 | PFEIFFER | ADS602H | Vacuum Pump | PUMP | 8 | as is where is | |||
108639 | PFEIFFER | ADS1202H | Vacuum Pump | PUMP | 131 | as is where is | |||
84073 | Pfeiffer | PTR26761A | Pirani Gauge, D-35614 TPR265 | Spares | 01.06.2001 | 2 | as is where is | immediately | |
112896 | Pfeiffer Vacuum | ATH 2300 M | Turbomolecular Pump | Pump | 1 | as is where is | |||
112897 | Pfeiffer Vacuum | ATH 2804 M | Turbomolecular Pump | Pump | 1 | as is where is | |||
112898 | Pfeiffer Vacuum | MAGPOWER | Turbo Pump Controller | Pump | 1 | as is where is | |||
112899 | Pfeiffer Vacuum | UNIDRY 050-4 | Mechanical Pump | Pump | 1 | as is where is | |||
112900 | Philips | DCD 120 | Double Crystal Diffractometer | 200 mm | 01.06.2001 | 1 | as is where is | ||
109559 | Philips | PHI 680 | Auger Nanoprobe | Laboratory | 01.05.1998 | 1 | as is where is | immediately | |
114157 | Philips / Technos | TREX 632 | X-ray Fluorescence Spectrometer | 300 mm | 1 | as is where is | |||
83587 | Pittman | GM9213E081 | GEAR motor 19.1 vdc 65.5:1 RATIO | Spares | 1 | as is where is | immediately | ||
91330 | Plasma Therm | Wafer/ Batch 740 | DUAL PLASMA ETCH AND RIE | 4" | 1 | as is where is | |||
91331 | Plasma Therm | Wafer/ Batch 740 | DUAL PLASMA ETCH AND RIE | 4" | 1 | as is where is | |||
112901 | Plasma-finish | V15G | Box Plasma Etcher | 1 | as is where is | ||||
112902 | Plasma-Therm | 790 | RIE PECVD | 1 | as is where is | ||||
112903 | Plasma-Therm | 790 ICP | Etcher | 1 | as is where is | ||||
112904 | Plasma-Therm | BT | Reactive Ion Etch System | 150 mm | 1 | as is where is | |||
112905 | Plasma-Therm | Unaxis 790 | DRIE PECVD | 1 | as is where is | ||||
112906 | Plasma-Therm | VII 734 | Reactive Ion Etch System | 200 mm | 1 | as is where is | |||
112907 | Plasma-Therm | VII 734MF | Reactive Ion Etch System | 200 mm | 1 | as is where is | |||
112908 | Plasma-Therm | Wafer Batch 740/740 | Reactive Ion Etch System | 200 mm | 1 | as is where is | |||
112909 | Plasma-Therm | 73/74 | PECVD/Plasma Etch/Reactive Ion Etch | 200 mm | 1 | as is where is | |||
108863 | PLASMA-THERM | 790 | Reactive Ion Etcher, Refurbished - Call for Details | 1 | inquire | ||||
108864 | PLASMA-THERM | SLR770 | Inductively Coupled Etcher with Load-Lock, Refurbished - Call for Details | 1 | as is all rebuilt | immediately | |||
109519 | PLASMART | PF05100-3B36S | RF GENERATOR | Spares | 6 | as is where is | |||
109520 | PLASMART | PF05100-3B36S | RF GENERATOR | Spares | 1 | as is where is | |||
109521 | PLASMART | PFDUAL-6B36A | RF GENERATOR | Spares | 1 | as is where is | |||
106759 | Plasmatherm | SLR 770 | Dual Chamber R.I.E. | 200 mm | 01.06.1994 | 1 | as is where is | immediately | |
112910 | PLASMATHERM | VLR 700 | Single Chamber PECVD | 1 | as is where is | ||||
109586 | Plasmatherm | SLR 720 | RIE Etcher | 150 mm | 1 | inquire | |||
110613 | PlasmaTherm | SLR 740 | Dual Chamber RIE / Plasma etch | 200 mm | 01.04.1999 | 1 | as is where is | immediately | |
103451 | Plasmatherm | SLR-770 ICP | Silicon Deep Etching | 100 mm | 01.05.1998 | 1 | as is where is | immediately | |
113983 | Plasmatherm | Odyssey | Asher | 1 | inquire | ||||
106953 | PLASMATHERM | LAPECVD | Large Area PECVD system, used for SiO and SiN process depositions | 150 mm | 01.06.2015 | 4 | as is where is | immediately | |
114158 | PlasmaTherm | 790 Etch | Multi-Process Etch | 200 mm | 1 | as is where is | |||
111602 | PlasmaTherm | SLR 770 ICP | Deep Silicon Etcher | 4 inch | 01.06.1998 | 1 | as is where is | immediately | |
111603 | PlasmaTherm | Versaline DSE-III | Deep Silicon Etcher | 4,6 and 8 inch | 01.06.2012 | 1 | as is where is | immediately | |
110683 | Plasmos | SD2000 | Thin Film Thickness Measurement System / Ellipsometer | 200 mm | 01.06.1998 | 1 | as is where is | immediately | |
83933 | PM500 09 P | MASK | RETICLE | 1 | as is where is | immediately | |||
31246 | PMS | Liquitrack 776200 | Non volatile residual Monitor for water-quality checking | facilities | 01.10.1999 | 1 | as is where is | immediately | |
83615 | PMS | MICRO LPC-210 | MICROLASER PARTICLE COUNTER | MALVERN WR 13 LN | 31.01.1989 | 1 | as is where is | immediately | |
54210 | Poly Design Inc. | Custom | Heated Quartz Boat storage / drying system | 200 mm | 01.05.2005 | 1 | as is where is | immediately | |
113946 | Polyflow | S620 | DIFF Tube cleaner | 150 mm | 1 | as is where is | |||
108714 | PolyFlow | Triple Tower II | Quartz Cleaner | 200 mm | 1 | as is where is | immediately | ||
113595 | PolyFlow | Partclean paddle | Partclean paddle | 150 mm | 01.06.1990 | 1 | as is where is | ||
113597 | PolyFlow | PolyFlow | Partsclean furnace boat bath | 150 mm | 01.06.1995 | 1 | as is where is | ||
113598 | PolyFlow | PolyFlow | Partsclean furnace boat bath | 150 mm | 01.06.1998 | 1 | as is where is | ||
113599 | PolyFlow | PolyFlow | vertical furnace quartzware cleaner | 150 mm | 01.06.1995 | 1 | as is where is | ||
112911 | PolyScience | 6305P | Chiller | Chiller | 1 | as is where is | |||
110733 | POWATEC | 850 | Manual Wafer Mounter | 01.06.2000 | 1 | as is where is | immediately | ||
83565 | Power Launch (?) | FU100F | Valve tube electron tube | 01.01.2009 | 2 | as is where is | immediately | ||
69870 | Power One | HPM5A2A2KS234 | 5V Switching Power Supply | TEST | 31.05.1998 | 5 | as is where is | immediately | |
69872 | Power One | HPM5C1C1E1E1H1S240 | Switching Power Supply | TEST | 01.06.1998 | 1 | as is where is | immediately | |
69873 | Power One | HPM5F2F2KS233 | 2V Switching Power Supply | TEST | 01.06.1998 | 2 | as is where is | immediately | |
69874 | Power One | HPM5E2E2KS228 | 28 V Switching Power Supply | TEST | 31.05.1998 | 2 | as is where is | immediately | |
69875 | Power One | SPM2E1E1S304 | 28 V Switching Power Supply | TEST | 31.05.1998 | 1 | as is where is | immediately | |
111605 | Power One | HPM5A2A2KS234 | DUAL 5V 150A POWER SUPPLY | Spares | 01.06.1997 | 1 | as is where is | immediately | |
83506 | POWERTEC | 9J5-360-371 | SUPER SWITCHER TM SERIES POWER SUPPLY | 220 VOLTS | 30.04.1994 | 1 | as is where is | immediately | |
83507 | POWERTEC ASTEC | 9J8-200-371 | SUPER SWITCHER TM SERIES POWER SUPPLY | 220 VOLTS | 31.01.1994 | 1 | as is where is | immediately | |
83508 | POWERTEC ASTEC | 9K2-300-372 | SUPER SWITCHER TM SERIES POWER SUPPLY | 220 VOLTS | 30.04.1994 | 1 | as is where is | immediately | |
83509 | POWERTEC ASTEC | 9J12-130-371 | SUPER SWITCHER TM SERIES POWER SUPPLY | 220 VOLTS | 31.05.1994 | 1 | as is where is | immediately | |
83510 | POWERTEC ASTEC | 6C32-EE-371 | SUPER SWITCHER TM SERIES POWER SUPPLY | 220 VOLTS | 30.06.1994 | 1 | as is where is | immediately | |
114511 | PROTEC | PHANTASM-MSS | DISPENSER | Assembly | 1 | as is where is | |||
114512 | PROTEC | PRO-800 | DISPENSER | Assembly | 1 | as is where is | |||
91356 | PSC | DES-220-456AVL | ASHER | 200 mm | 1 | as is where is | immediately | ||
113118 | PSC | DES-220-456AVL | Dry ETCHing System | N/A | 1 | as is where is | |||
98833 | PSK | SUPRA3 | Asher Dry Strip | 300 mm | 31.10.2006 | 1 | as is where is | ||
108584 | PSK | TERA21 | Asher | 300 mm | 01.05.2006 | 1 | as is where is | immediately | |
108586 | PSK | DAS 2000 | Asher | 200 mm | 01.05.2004 | 1 | as is where is | immediately | |
106297 | PSK | SUPRA 3 | Asher - Stripper | 300 mm | 01.06.2013 | 1 | as is where is | immediately | |
103548 | PSK | SUPRA3 | Dry Strip | 300 mm | 31.05.2006 | 1 | as is where is | ||
112278 | PSK | Supra III | Asher | 300 mm | 01.06.2005 | 1 | as is where is | ||
112279 | PSK | Supra IV | Asher | 300 mm | 01.06.2008 | 1 | as is where is | ||
113119 | PSK | SUPRA IV | Dry strip | 300 mm | 01.06.2007 | 1 | as is where is | ||
113124 | PSK | SUPRA IV | Dry Strip | 300 mm | 1 | as is where is | |||
113125 | PSK | SUPRA IV | Dry Strip | 300 mm | 01.06.2007 | 1 | as is where is | ||
113126 | PSK | SUPRA V | Dry Strip | 300 mm | 01.06.2010 | 1 | as is where is | ||
113127 | PSK | SUPRA3 | Dry Strip | 300 mm | 01.06.2006 | 1 | as is where is | ||
114152 | PSK | Supra IV | Stripper/Asher | 300 mm | 1 | as is where is | |||
114153 | PSK | Supra IV | Stripper/Asher | 300 mm | 1 | as is where is | |||
114154 | PSK | Supra IV | Stripper/Asher | 300 mm | 1 | as is where is | |||
114155 | PSK | SURPA N - Chamber Only | Stripper/Asher | 300 mm | 1 | as is where is | |||
114156 | PSK | SURPA N - Chamber Only | Stripper/Asher | 300 mm | 1 | as is where is | |||
109564 | PSK | SUPRA III | PHOTORESIST STRIP | 300 mm | 01.05.2006 | 1 | as is where is | immediately | |
114501 | PSM | NPT V01 | PLASMA CLEANER | Assembly | 1 | as is where is | |||
83928 | PULNIX | TM-7EX | VIDEO CAMERA WITH FUJI NF35A-2 LENS | 01.12.1998 | 1 | as is where is | immediately | ||
74251 | Qualiflow | AFC 50D | Mass Flow Controller;Meter,Spectrometer,Gas Mix, control | spares | 01.11.2009 | 1 | as is where is | immediately | |
112912 | Quintel | Q804 | Mask Aligner | 100 mm | 1 | as is where is | |||
112913 | Quintel | UL 7000 IR | Mask Aligner | 100 mm | 1 | as is where is | |||
108852 | QUINTEL | 7000 | Mask Aligner, with IR Backside Alignment, for up to 6" Wafers | 1 | inquire | immediately | |||
114159 | R2D Automation | COMET 3 station | Wafer Sorter | 150 mm | 1 | as is where is | |||
114160 | R2D Automation | COMET 3 station | Wafer Sorter | 150 mm | 1 | as is where is | |||
114161 | R2D Automation | COMET 3 station | Wafer Sorter | 150 mm | 1 | as is where is | |||
114162 | R2D Automation | COMET 3 station | Wafer Sorter | 150 mm | 1 | as is where is | |||
110734 | Ramgraber | SST | Solvent wet with heater and spin dryer | Up to 300 mm | 01.06.2016 | 1 | as is where is | immediately | |
91552 | RAYTEX | RXW-800 | EDGE SCAN | 200 mm | 31.05.2008 | 1 | as is where is | ||
98489 | Raytex | RXW-0826SFIX-SMIF | Wafer edge scanner | 200 mm | 1 | as is where is | immediately | ||
114163 | Raytex | RXW-1227 EdgeScan | Edge Defect | 300 mm | 1 | as is where is | |||
84239 | Raytheon | ELC-14947 | Directed light assembly, for ESI | Spares | 1 | as is where is | immediately | ||
114261 | Recif | SRT 300F 01 | Wafer sorter | 300mm | 01.07.2005 | 2 | as is where is | immediately | |
108787 | RECIF | IDLW8R | Wafer ID Reader - Tabletop for 200mm Wafers | 200 mm | 2 | as is where is | immediately | ||
108788 | RECIF | SPP8 | Wafer Transfer for 200mm Wafers | 200 MM | 1 | as is where is | immediately | ||
109119 | Rectif | Sort | Batch Flip AHMS Sorter | 300 mm | 01.06.2010 | 14 | as is where is | immediately | |
110761 | RECTIF | G3 | Wafer Sorter | 300 mm | 2 | as is where is | immediately | ||
110792 | RECTIF | SRT300F01 | Wafer Sorter with 4 wafer loading ports | 300 mm | 01.07.2005 | 1 | as is where is | immediately | |
110794 | RECTIF | SRT300F34 | Wafer Sorter with 2 wafer loading ports | 300 mm | 01.07.2005 | 1 | as is where is | immediately | |
77159 | Renishaw | RGH24X30A00A | Encoder Head NEW IN BOX | Spares | 1 | as is where is | immediately | ||
111476 | Research Instruments | EUV-MBR | EUV Mask and Blank reflectometer | reticle | 01.06.2016 | 1 | as is where is | immediately | |
114151 | ReVera | VeraFlex | X-ray Fluorescence Spectrometer | 300 mm | 1 | as is where is | |||
114164 | ReVera | RVX1000 | Film Thickness Measurement System | 300 mm | 1 | as is where is | |||
114165 | ReVera | RVX1000 | Film Thickness Measurement System | 300 mm | 1 | as is where is | |||
114166 | ReVera | RVX1000 | Film Thickness Measurement System | 300 mm | 1 | as is where is | |||
110359 | RF Global Solutions | RF Services Match controller | Miscellaneous | SPARES | 1 | inquire | |||
110360 | RF Services | ICPSM | Match | SPARES | 1 | inquire | |||
110361 | RF Services | ICPSM | Match | SPARES | 1 | inquire | |||
110362 | RF Services | ICPSM | Match | SPARES | 1 | inquire | |||
110363 | RF Services | ICPSM | Match | SPARES | 1 | inquire | |||
110364 | RF Services | LF Splitter | Miscellaneous | SPARES | 1 | inquire | |||
110365 | RF Services | LF Splitter | Miscellaneous | SPARES | 1 | inquire | |||
110366 | RF Services | LF Splitter | Miscellaneous | SPARES | 1 | inquire | |||
110367 | RF Services | LF Splitter | Miscellaneous | SPARES | 1 | inquire | |||
110368 | RF Services | LF Splitter | Miscellaneous | SPARES | 1 | inquire | |||
110369 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | |||
110370 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | |||
110371 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | |||
110372 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | |||
110373 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | |||
110374 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | |||
110375 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | |||
110376 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | |||
110377 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | |||
110378 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | |||
110379 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | |||
110380 | RF Services | RFS 1000 | Match | SPARES | 1 | inquire | |||
110381 | RF Services | RFS 1000M | Match | SPARES | 1 | inquire | |||
110382 | RF Services | RFS 2502 | Match | SPARES | 1 | inquire | |||
110383 | RF Services | RFS 3002 ICP | Match | SPARES | 1 | inquire | |||
110384 | RF Services | RFS 3002A | Match | SPARES | 1 | inquire | |||
110385 | RF Services | RFS 3002A ICP | Match | SPARES | 1 | inquire | |||
110386 | RF Services | RFS 3006 | Match | SPARES | 1 | inquire | |||
110387 | RF Services | RFS 3009 ICPSM | Match | SPARES | 1 | as is where is | immediately | ||
110388 | RF Services | RFS 3018 | Match | SPARES | 1 | inquire | |||
110389 | RF Services | RFS 500 | Match | SPARES | 1 | inquire | |||
110390 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | |||
110391 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | |||
110392 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | |||
110393 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | |||
110394 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | |||
110395 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | |||
110396 | RF Services | RFS 500/700 | Match | SPARES | 1 | inquire | |||
110397 | RF Services | RFS 700 | Match | SPARES | 1 | inquire | |||
110398 | RF Services | RFS 712 | Match | SPARES | 1 | inquire | |||
110399 | RF Services | Splitter 1k | Miscellaneous | SPARES | 1 | inquire | |||
110400 | RF Services | Splitter 1k | Miscellaneous | SPARES | 1 | inquire | |||
107758 | RF Services | RFS 500/700 | RF Match | Spares | 1 | as is where is | immediately | ||
107796 | RFPP | LF-5 | RF Generator | Spares | 1 | as is all rebuilt | immediately | ||
110401 | RFPP | AM-10 | Match | SPARES | 1 | inquire | |||
110402 | RFPP | AM-10 | Match | SPARES | 1 | inquire | |||
110403 | RFPP | AM-10 | Match | SPARES | 1 | inquire | |||
110404 | RFPP | AM-10 | Match | SPARES | 1 | inquire | |||
110405 | RFPP | AM-10 | Match | SPARES | 1 | inquire | |||
110406 | RFPP | AM-10 | Match | SPARES | 1 | inquire | |||
110407 | RFPP | AM-10 | Match | SPARES | 1 | inquire | |||
110408 | RFPP | AM-10 | Match | SPARES | 1 | inquire | |||
110409 | RFPP | AM-10 | Match | SPARES | 1 | inquire | |||
110410 | RFPP | AM-10 | Match | SPARES | 1 | inquire | |||
110411 | RFPP | AM-10 | Match | SPARES | 1 | inquire | |||
110412 | RFPP | AM-10 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110413 | RFPP | AM-20 | Match | SPARES | 1 | inquire | |||
110414 | RFPP | AM-20 | Match | SPARES | 1 | inquire | |||
110415 | RFPP | AM-30 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110416 | RFPP | AM-5 | Match | SPARES | 1 | inquire | |||
110417 | RFPP | AM-5 | Match | SPARES | 1 | inquire | |||
110418 | RFPP | AM-5 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110419 | RFPP | AMN | Match | SPARES | 1 | inquire | |||
110420 | RFPP | AMNPS-2A Controller | Miscellaneous | SPARES | 1 | inquire | |||
110421 | RFPP | AMNPS-2A Controller | Miscellaneous | SPARES | 1 | inquire | |||
110422 | RFPP | AMNPS-2A Controller | Miscellaneous | SPARES | 1 | inquire | |||
110423 | RFPP | AMNPS-2A Controller | Miscellaneous | SPARES | 1 | inquire | |||
110424 | RFPP | AMNPS-2A Controller | Miscellaneous | SPARES | 1 | inquire | |||
110425 | RFPP | AMNPS-2A Controller | Miscellaneous | SPARES | 1 | inquire | |||
110426 | RFPP | AMNPS-2A Controller | Miscellaneous | SPARES | 1 | inquire | |||
110427 | RFPP | ICP20-P | Generator | SPARES | 1 | inquire | |||
110428 | RFPP | LF-5 | Generator | SPARES | 1 | inquire | |||
110429 | RFPP | LF-5 | Generator | SPARES | 1 | inquire | |||
110430 | RFPP | LF-5 | Generator | SPARES | 1 | inquire | |||
110431 | RFPP | LF-5 | Generator | SPARES | 1 | inquire | |||
110432 | RFPP | LF-5 | Generator | SPARES | 1 | inquire | |||
110433 | RFPP | LF-5 | Generator | SPARES | 1 | inquire | |||
110434 | RFPP | LF-5S | Generator | SPARES | 1 | inquire | |||
110435 | RFPP | Match | Match | SPARES | 1 | inquire | |||
110436 | RFPP | RF 25M | Generator | SPARES | 1 | inquire | |||
110437 | RFPP | RF 30H | Generator | SPARES | 1 | inquire | |||
110438 | RFPP | RF 50 | Generator | SPARES | 1 | inquire | |||
110439 | RFPP | RF-20H Controller | Miscellaneous | SPARES | 1 | inquire | |||
110440 | RFPP | RF-20M | Generator | SPARES | 1 | inquire | |||
110441 | RFPP | RF20S | Generator | SPARES | 1 | inquire | |||
110442 | RFPP | RF-20S | Generator | SPARES | 1 | inquire | |||
110443 | RFPP | RF-20S | Generator | SPARES | 1 | inquire | |||
110444 | RFPP | RF-20S | Generator | SPARES | 1 | inquire | |||
110445 | RFPP | RF-20S | Generator | SPARES | 1 | inquire | |||
110446 | RFPP | RF-20S | Generator | SPARES | 1 | inquire | |||
110447 | RFPP | RF25M | Generator | SPARES | 1 | inquire | |||
110448 | RFPP | RF30H | Generator | SPARES | 1 | inquire | |||
110449 | RFPP | RF-30S | Generator | SPARES | 1 | inquire | |||
110450 | RFPP | RF-30S | Generator | SPARES | 1 | inquire | |||
110451 | RFPP | RF-30S | Generator | SPARES | 1 | inquire | |||
110452 | RFPP | RF-5S | Generator | SPARES | 1 | inquire | |||
110453 | RFPP | RF-5S | Generator | SPARES | 1 | inquire | |||
110454 | RFPP | RF-5S | Generator | SPARES | 1 | inquire | |||
110455 | RFPP | RF-5S | Generator | SPARES | 1 | inquire | |||
110456 | RFPP | RF-5S | Generator | SPARES | 1 | inquire | |||
110457 | RFPP | RF-5S | Generator | SPARES | 1 | inquire | |||
110458 | RFPP | RF-5S | Generator | SPARES | 1 | inquire | |||
110459 | RFPP | RF-5S | Generator | SPARES | 1 | inquire | |||
109522 | RFPP | 7600001010 | RF GENERATOR | Spares | 1 | as is where is | |||
109523 | RFPP | 751031301F | RF GENERATOR | Spares | 2 | as is where is | immediately | ||
109524 | RFPP | I22050001AMNPS | RF GENERATOR | Spares | 1 | as is where is | |||
107768 | RFPP | AM-10 | RF Match | Spares | 1 | as is where is | immediately | ||
110460 | RFVII | ATN-10 | Match | SPARES | 1 | inquire | |||
110461 | RFVII | ATN-50 | Match | SPARES | 1 | inquire | |||
110462 | RFVII | PT-II-CE Controller | Miscellaneous | SPARES | 1 | inquire | |||
110463 | RFVII | PT-II-CE Controller | Miscellaneous | SPARES | 1 | inquire | |||
110464 | RFVII | RF-20 | Generator | SPARES | 1 | inquire | |||
106868 | Riber ® | MBE 32 | Molecular Beam Epitaxy system for R and D | Laboratory | 01.03.1999 | 1 | as is where is | immediately | |
112914 | Rigaku | TXRF 300S | TXRF | 200 mm | 1 | as is where is | |||
108865 | RIGAKU | V300 | Total Reflection Xray Fluoroescence Spectrometer | 1 | inquire | ||||
108194 | RIGAKU | 3640 | fluorescent X-ray measurement system | 200 mm | 01.11.2000 | 1 | as is where is | immediately | |
91557 | RIGAKU | XRF3640 (Handle include) | Wafer/ Disk Analyzer | 200 mm | 01.05.1995 | 1 | as is where is | ||
114341 | Rigaku | MFM65 | X-ray Fluorescence | 200~300 mm | 01.06.2008 | 1 | as is where is | ||
113905 | RIGAKU | XRF3640 | Metrology XRA | 150 mm | 01.06.2003 | 1 | as is where is | ||
111422 | Riken Keiki | GD-S8DG | TEOS Gas Detector | 0 | as is where is | immediately | |||
98731 | Rofin | PowerLine D-100 (RSM, Sx) | Fiber Laser for solar cell edge isolation | Solar | 01.06.2008 | 1 | as is where is | immediately | |
106985 | Rofin | Powerline L100 SHG | YAG laser Ablation system 532 nm | Solar | 01.06.2014 | 1 | as is where is | immediately | |
111460 | Rohde & Schwarz | CMW-CU | Control unit | 1 | as is where is | immediately | |||
53053 | Rorze | RR304L90 | Wafer handling robot, with 5 ceramic robot blades | 200 mm | 01.06.1995 | 1 | as is where is | immediately | |
113726 | Rorze | RS8221 | Metrology Wafer SORTER | 150 mm | 01.06.2006 | 1 | as is where is | ||
114249 | Rorze | Mirra RR700L | Fabs robot | 150-Z30-010 | 01.08.2002 | 1 | as is where is | immediately | |
106826 | Rorze | RV201 | Load Port | 300mm | 10 | inquire | immediately | ||
106827 | Rorze | RR701L1521-3A3-111-3 | Dual arm Atmospheric wafer handling robot | NA | 1 | as is where is | immediately | ||
106828 | Rorze | RR713L1521-3A3-E13(E11)-1 | Dual arm Atmospheric wafer handling robot | 200 mm | 1 | as is where is | immediately | ||
106829 | Rorze | RR717L1521 | Dual arm Atmospheric wafer handling robot | 300mm | 1 | as is where is | immediately | ||
106830 | Rorze | Wafer sorter with RR717L1521 robot | wafer sorter for 300mm wafer | 300mm | 3 | inquire | immediately | ||
103552 | RORZE | RASS300F | Wafer Sorter / 4Foup type | 300 mm | 31.05.2006 | 1 | as is where is | ||
83881 | Rorze | BERC-RD023MS | 2P MICRO STEP DRIVER | VF C5622 | 3 | as is where is | immediately | ||
91574 | RORZE | RSC242 | Wafer Sorter / 4Foup type | 300 mm | 31.05.2002 | 1 | as is where is | immediately | |
91575 | RORZE | RSC242 | Wafer Sorter / 4Foup type | 300 mm | 31.05.2003 | 1 | as is where is | immediately | |
98490 | Rorze | RR701L1521-3A3-111-2 | Dual arm Atmospheric wafer handling robot | 200 mm | 1 | as is where is | immediately | ||
98491 | Rorze | RR701L90-Z20-616 | Dual arm Atmospheric wafer handling robot | 200mm | 1 | as is where is | immediately | ||
106188 | Rorze | RR713L1521-3A3-E11-0 | Dual arm Atmospheric wafer handling robot with controller | Spares | 1 | as is where is | immediately | ||
113129 | RORZE | RSC242 | Wafer Sorter / 4Foup type | 300 mm | 01.06.2003 | 1 | as is where is | ||
113130 | RORZE | RSC242 | Wafer Sorter / 4Foup type | 300 mm | 01.06.2002 | 1 | as is where is | ||
114167 | Rorze | RSC222 | Wafer Sorter | 300 mm | 1 | as is where is | |||
81835 | Rosemont Analytical | 1055-01-11-22 | PH Monitor Solucomp II | 1 | as is where is | immediately | |||
56813 | Roth & Rau | SiNA (Spare Parts) | Spare Parts from PECVD system for deposition of Silicon Nitride | Solar | 01.10.2010 | 1 | as is where is | immediately | |
108866 | ROYCE INSTRUMENTS | ASTM-2kg | Die Shear Load Cell | SPARES | 1 | inquire | |||
108867 | ROYCE INSTRUMENTS | ASTM-50g | Wire Pull Load Cell | SPARES | 1 | inquire | |||
108868 | ROYCE INSTRUMENTS | ASTM-200g | Die Shear Load Cell | SPARES | 1 | inquire | |||
108869 | ROYCE INSTRUMENTS | ASTM-200g | Wire Pull Load Cell | SPARES | 1 | inquire | |||
108870 | ROYCE INSTRUMENTS | ASTM-200g | Wire Pull Load Cell | SPARES | 1 | inquire | |||
108871 | ROYCE INSTRUMENTS | STM-20kg | Die Shear Load Cell | SPARES | 1 | inquire | |||
108872 | ROYCE INSTRUMENTS | System 550 100K | Die Shear/Bond Pull Tester with RPTM 50g Wire Pull Load Cell, ASTM-200g, ASTM 2K, STM 20K & STM 100K Shear Test Load Cells, Collection of Workholders | SPARES | 1 | inquire | |||
108873 | ROYCE INSTRUMENTS | Die Shear Workholder | SPARES | 1 | inquire | ||||
113152 | RUDOLPH | S3000S | FBE(focused beam laser ellipsometry) | 300 mm | 1 | as is where is | immediately | ||
108034 | RUDOLPH | AXI-S930B | Macro Defect Inspection | 300 mm | 01.01.2007 | 1 | as is where is | ||
109570 | Rudolph | WaferView 320 | Macro Wafer Defect Inspection System | 300 mm | 1 | as is where is | immediately | ||
113154 | RUDOLPH | MP200 | Film thickness measurement | 200 mm | 01.06.1999 | 1 | as is where is | ||
113155 | RUDOLPH | MP200 | Film thickness measurement | 200 mm | 01.06.2003 | 1 | as is where is | ||
113156 | RUDOLPH | WV320 | Macro Defect Inspection System | 300 mm | 1 | as is where is | immediately | ||
113157 | RUDOLPH | WV320 | Macro Defect Inspection System | 300 mm | 1 | as is where is | immediately | ||
94478 | RUDOLPH | FE-3 | Focus Ellipsometer | 200 mm | 1 | as is where is | |||
94479 | RUDOLPH | FE-4D | Focus Ellipsometer | 200 mm | 1 | as is where is | |||
98835 | RUDOLPH | AXI-S | Macro Wafer Inspection | 300 mm | 01.06.2004 | 1 | as is where is | immediately | |
98837 | RUDOLPH | MP1-300XCU | Film Thickness Measurement System | 300 mm | 30.04.2008 | 1 | as is where is | immediately | |
108587 | RUDOLPH | WV320 | Wafer Inspection System | 300mm | 1 | as is where is | immediately | ||
100928 | RUDOLPH | AXI-S | Macro Inspection System | 300 mm | 31.05.2003 | 1 | as is where is | ||
100930 | RUDOLPH | MP300 | Film thickness measurement | 200 mm | 31.05.2007 | 1 | as is where is | ||
100931 | RUDOLPH | MP300 | Film thickness measurement | 200 mm | 31.05.2009 | 1 | as is where is | ||
100932 | RUDOLPH | S3000A | Focused Beam Ellipsometer | 200 mm | 31.05.2012 | 1 | as is where is | ||
106831 | Rudolph | MP200XCU | Cu Film thickness measurement | 200 mm | 1 | as is where is | immediately | ||
106832 | Rudolph | MP-300 | Metal Film thickness measurement | 300mm | 1 | as is where is | immediately | ||
106833 | Rudolph | MP300 XCu | Cu Film thickness measurement | 300 mm | 2 | as is where is | immediately | ||
114534 | RUDOLPH | NSX 320+SWS+WHS | MACRO DEFECT INSPECTOR | Assembly | 1 | as is where is | |||
106871 | RUDOLPH | NSX 105 | Automated Wafer, Die and Bump Inspection System | 200 mm and 300 mm | 01.06.2008 | 1 | as is where is | immediately | |
103553 | RUDOLPH | AXI-S | Macro Inspection | 300 mm | 31.05.2004 | 1 | as is where is | ||
103554 | RUDOLPH | AXI935D | AVI | 300 mm | 1 | as is where is | |||
103555 | RUDOLPH | MP3 300XCU | FLIM THICKNESS MEASUREMENT SYSTEM | 300 mm | 1 | as is where is | immediately | ||
110735 | Rudolph | MetaPulse 200 | Metal film measurement system | 150-200 mm | 01.06.2006 | 1 | as is where is | immediately | |
110736 | Rudolph | MetaPulse 200X Cu | Metal film measurement system | 150-200 mm | 01.06.2006 | 1 | as is where is | immediately | |
112280 | Rudolph | 3Di8500 | Metrology Macro inspection | 300 mm | 01.06.2008 | 1 | as is where is | ||
112281 | Rudolph | Axi-S | Metrology Macro Inspection | 300 mm | 01.06.2005 | 1 | as is where is | ||
112282 | Rudolph | Axi-S | Metrology Macro Inspection | 300 mm | 01.06.2005 | 1 | as is where is | ||
112283 | Rudolph | NSX105 | Metrology Macro Inspection | 300 mm | 01.06.2007 | 1 | as is where is | ||
112284 | Rudolph | NSX105 | Metrology Macro Inspection | 200 mm | 01.06.2004 | 1 | as is where is | ||
112285 | Rudolph | NSX105 | Metrology Macro Inspection | 200 mm | 01.06.2003 | 1 | as is where is | ||
112286 | Rudolph | NSX105 | Metrology Macro Inspection | 200 mm | 1 | as is where is | |||
114342 | Rudolph | FE-VII-D | Ellipsometer | 200 mm | 01.06.1994 | 1 | as is where is | ||
91559 | RUDOLPH | AXI_S | Macro Inspection System | 300 mm | 31.05.2005 | 1 | as is where is | ||
108711 | Rudolph | Sonus 7800 | Acoustic Metrology and Defect Detection System | 300 MM | 01.06.2015 | 1 | inquire | ||
114343 | Rudolph | FE-VII-SD | Ellipsometer | 200 mm | 01.06.1997 | 1 | as is where is | ||
91560 | RUDOLPH | AXI_S | Macro Inspection System | 300 mm | 31.05.2005 | 1 | as is where is | ||
108200 | RUDOLPH | MP200 | Film thickness measurement | 200 mm | 01.06.2002 | 1 | as is where is | ||
91561 | RUDOLPH | AXI_S | Macro Inspection System | 300 mm | 31.05.2006 | 1 | as is where is | ||
91563 | RUDOLPH | Meta Pulse | Film Metrology | 200 mm | 01.05.2005 | 1 | as is where is | immediately | |
91566 | Rudolph | WS3840 | 3D Bump Metrology | 300 mm | 31.05.2010 | 1 | as is where is | immediately | |
91567 | RUDOLPH | META PULSE 200 | Surface Film Metrology | 200 mm | 01.05.2002 | 1 | as is where is | immediately | |
106420 | Rudolph | NSX 115 | Automated Defect Inspection | 200 mm | 1 | as is where is | immediately | ||
106422 | Rudolph | NSX-105 | Automated Defect Inspection | 150 mm/200 mm | 1 | as is where is | immediately | ||
106424 | Rudolph | NSX-95 | Automated Macro Defect Inspection | 150 mm/200 mm | 1 | as is where is | immediately | ||
110795 | Rudolph | S300D Ultra II | Thin Film Measurement Tool / Ellipsometer | 300 mm | 01.05.2005 | 1 | as is where is | immediately | |
106715 | RUDOLPH | MP3_300A | METAL THICKNESS MEASUREMENT | 300 mm | 01.06.2012 | 1 | as is where is | ||
106716 | RUDOLPH | MP-300 | Film thickness measurement | 300 mm | 01.06.2005 | 1 | as is where is | immediately | |
106717 | RUDOLPH | MP1-300 | Film Thickness Measurement | 300 mm | 01.06.2003 | 1 | as is where is | immediately | |
106719 | RUDOLPH | MP1-300XCU | Film thickness measurement system | 300 mm | 01.06.2008 | 1 | as is where is | ||
106720 | RUDOLPH | NSX 105 | MACRO DEFECT INSPECTION SYSTEM | 300 mm | 01.06.2008 | 1 | as is where is | immediately | |
106721 | RUDOLPH | S3000A | FBE(focused beam laser ellipsometry) | 300 mm | 01.06.2010 | 1 | as is where is | immediately | |
106723 | RUDOLPH | S3000A | FBE(focused beam laser ellipsometry) | 300 mm | 1 | as is where is | |||
106725 | RUDOLPH | S3000S | FBE(focused beam laser ellipsometry) | 300 mm | 01.06.2010 | 1 | as is where is | ||
106731 | RUDOLPH | WV320 | Macro Defect Inspection | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
113131 | RUDOLPH | AXI-S | Macro Inspection System | 300 mm | 01.06.2004 | 1 | as is where is | ||
106732 | RUDOLPH | MP200 | Film thickness measurement | 200 mm | 01.06.2003 | 1 | as is where is | ||
113132 | RUDOLPH | META PULSE 200 | Film thickness measurement | 200 mm | 01.06.1999 | 1 | as is where is | ||
113133 | RUDOLPH | META PULSE 200 | Film thickness measurement | 200 mm | 01.06.2005 | 1 | as is where is | ||
109550 | Rudolph | AXI-S | Macro Defect Inspection System | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
113134 | RUDOLPH | META PULSE 200X CU | Film thickness measurement (Including HDD) | 200 mm | 01.06.2003 | 1 | as is where is | immediately | |
113135 | RUDOLPH | META PULSE 200X CU | Film thickness measurement (Including HDD) | 200 mm | 01.06.2001 | 1 | as is where is | immediately | |
113136 | RUDOLPH | META PULSE II 200X CU | Film thickness measurement | 200 mm | 01.06.2008 | 1 | as is where is | ||
113137 | RUDOLPH | METAPULSE 200C | Film thickness measurement | 200 mm | 01.06.2000 | 1 | as is where is | ||
113138 | RUDOLPH | METAPULSE 200cuX | Film thickness measurement | 200 mm | 01.06.2004 | 1 | as is where is | ||
113140 | RUDOLPH | MP1-300 | Film Thickness Measurement | 300 mm | 1 | as is where is | |||
113141 | RUDOLPH | MP1-300 | Film Thickness Measurement | 300 mm | 01.06.2007 | 1 | as is where is | ||
113142 | RUDOLPH | MP1-300 | Film Thickness Measurement | 300 mm | 1 | as is where is | immediately | ||
98296 | RUDOLPH | Meta Pulse 300 | Film thickness measurement | 300 mm | 1 | as is where is | immediately | ||
114168 | Rudolph | Axi 930 | Macro-Defect | 300 mm | 1 | as is where is | |||
98297 | RUDOLPH | Meta Pulse 300 | Film thickness measurement | 300 mm | 1 | as is where is | |||
113145 | RUDOLPH | MP3_300A | METAL THICKNESS | 300 mm | 01.06.2012 | 1 | as is where is | ||
114169 | Rudolph | Axi 935 | Macro-Defect | 300 mm | 1 | as is where is | |||
113147 | RUDOLPH | NSX 105 | Macro Defect Inspection | 300 mm | 01.06.2008 | 1 | as is where is | ||
113149 | RUDOLPH | S3000A | FBE(focused beam laser ellipsometry) | 300 mm | 01.06.2011 | 1 | as is where is | immediately | |
113150 | RUDOLPH | S3000A | FBE(focused beam laser ellipsometry) | 300 mm | 1 | as is where is | |||
113151 | RUDOLPH | S3000A | FBE(focused beam laser ellipsometry) | 300 mm | 01.06.2011 | 1 | as is where is | immediately | |
112915 | Rudolph Research | AUTO EL RE-350 | Ellipsometer | 150 mm | 1 | as is where is | |||
112916 | Rudolph Technologies | AUTO EL | Ellipsometer | 150 mm | 1 | as is where is | |||
111873 | Rudolph Technologies, Inc. | Vanguard SpectraLASER 200XL | Film Thickness Measurement System | 200mm | 1 | as is where is | |||
110465 | Sairem | MU Controller | Miscellaneous | SPARES | 1 | inquire | |||
79602 | Salon Teknopaja OY | PWB | Printed Wire Board Level Drop Tester with Solder Joint Reliability tester | SMT | 01.05.2004 | 1 | as is where is | immediately | |
98495 | SAMCO | PD-3800 | LED – pecvd system | 50mm to 200mm | 01.10.1997 | 1 | as is where is | immediately | |
98496 | SAMCO | RIE-212 IPC | LED Reactive Ion Etcher | 50/100mm | 1 | as is where is | immediately | ||
106736 | SAMCO | RIE-300NR | Reactive Ion Etching System | 300 mm | 01.06.2006 | 1 | as is where is | ||
106737 | SAMCO | RIE-300NR | Reactive Ion Etching System | 300 mm | 01.06.2006 | 1 | as is where is | ||
84373 | SAMSUNG | MR16R0828AN1-CKB | 128 MB/8 RAM | 2 | as is where is | immediately | |||
79889 | Sanitas EG | Multilevel | EPROM Programmer | Electronics Test and Measurement | 1 | as is where is | immediately | ||
86303 | Sankei Giken | TCW-12000 CV | Process Module Chiller | Facilities | 01.07.1996 | 1 | as is where is | immediately | |
106206 | SANYO DENKI | RBA2C-202 | SERVO DRIVER MODULE | Spares | 2 | as is where is | immediately | ||
83634 | SCANLAB | RTC 2 Type XY-01 | PC INTERFACE BOARD | 20-TVS | 31.07.2006 | 2 | as is where is | immediately | |
83836 | SCFH AIR | 100 PSIG | 1 | as is where is | immediately | ||||
100709 | Schroff | PSM 115 | Power Supply Unit | Spares | 01.05.2007 | 10 | as is where is | immediately | |
113906 | SDI Semiconductor Diagnostics | 2500 | Metrology – Carrier Lifetime Measurement | 150 mm | 01.06.1996 | 1 | as is where is | ||
107012 | SEC Semiconductor Equipment Corp. | 3100 | Manual wafer taper | 150 mm | 01.07.2002 | 2 | as is where is | immediately | |
106740 | SECRON | IP 300 | Prober | 300 mm | 1 | as is where is | |||
84387 | SEEKA | UM-T50DT | PHOTO SENSOR | 5 | as is where is | immediately | |||
21521 | Seiko | SDI 4000 | operation manual for SDI 4000 Semiconductor process evaluation SEM | manual | 01.06.1995 | 1 | as is where is | immediately | |
52191 | Seiko Seiki | SCU 301H | Turbo Pump Controller Unit | spares | 01.06.1999 | 1 | as is where is | immediately | |
71921 | Seiko Seiki | SCU-1000C | Controller for Seiko Seiki STP 1000C Turbo pump | spares | 01.10.1999 | 1 | as is where is | immediately | |
112917 | Seiko-Seiki | SCU-H1000C | Turbo Pump Controller | Pump | 2 | as is where is | |||
112918 | Seiko-Seiki | STP-XH3203P | Turbomolecular Pump | Pump | 1 | as is where is | |||
112919 | Seiko-Seiki | STPH-300C with controller | Turbomolecular Pump | Pump | 1 | as is where is | |||
20268 | SEKISUI | VANTEC SIGMA 200 K1 | Antistatic 200 MM Wafer shipping box | 200 mm | 01.06.2006 | 13 | as is where is | immediately | |
109560 | SELA/Camtek | EM3i | Saw for TEM sample preparation | ASSEMBLY | 01.05.2011 | 1 | as is where is | ||
77185 | Semco | C60 | Cleanstar PFA Valve C608075305A12HPW C60 HPW | 1 | as is where is | immediately | |||
110466 | Semco | HVS 1500 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110467 | Semco | HVS 2K Controller | Miscellaneous | SPARES | 1 | inquire | |||
77189 | Semco | 156 MM WAFER BOAT | Solar Wafer (156mm) Quartz Rack/Holder for a Furnace | 156mm | 01.06.2010 | 6 | as is where is | immediately | |
77191 | Semco | Wafer Boat | Solar Wafer (156mm) Quartz wafer boat | 156mm | 9 | as is where is | immediately | ||
77198 | Semco | wafer boat | Solar Wafer (156mm square) Quartz Rack/Holder for a Furnace | 156mm | 3 | as is where is | immediately | ||
112920 | Semi-Tool | WST 306 MG | Spray Solvent Tool | 1 | as is where is | ||||
112921 | Semi-Tool | WST 406 MG | Spray Solvent Tool | 2 | as is where is | ||||
108588 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER | 300mm | 01.06.2007 | 1 | as is where is | immediately | |
108589 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER | 300mm | 01.06.2007 | 1 | as is where is | immediately | |
108590 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER | 300mm | 01.06.2007 | 1 | as is where is | immediately | |
108591 | SEMICS | OPUS2 | FULLY AUTOMATED PROBER | 300mm | 01.06.2007 | 1 | as is where is | immediately | |
108592 | SEMICS | OPUS3 | FULLY AUTOMATED PROBER | 300mm | 01.06.2011 | 1 | as is where is | immediately | |
108593 | SEMICS | OPUS3 | FULLY AUTOMATED PROBER | 300mm | 01.06.2011 | 1 | as is where is | immediately | |
112287 | Semics | OPUS3 | Wafer Probing | 200 mm, 300 mm | 01.06.2012 | 1 | as is where is | ||
113336 | SEMICS | OPUS 2 | Prober with Ambient and hot (Up to 150 C) | 300 mm | 01.06.2010 | 2 | as is where is | immediately | |
113337 | SEMICS | OPUS 3 | Prober with Ambient and hot (Up to 150 C) | 300 mm | 01.06.2010 | 2 | as is where is | immediately | |
108035 | SEMILAB | PMR_3000 | Dose Monitoring | 300 mm | 1 | as is where is | |||
112288 | Semilab | FAaST 230 | Metrology - Carrier Lifetime Measurement | 200 mm | 01.06.2005 | 1 | as is where is | immediately | |
112289 | Semilab | FAaST330A | Metrology - Carrier Lifetime Measurement | 300 mm | 01.06.2004 | 1 | as is where is | immediately | |
112290 | Semilab | WT2500PL | Metrology ANALYSIS | 300 mm | 01.06.2015 | 1 | as is where is | ||
54208 | SemiNet Automation | Infinity SACS 251216-120-CE | Semi-Automatic Carousel Boxed Wafer Stocker | 200 mm | 01.10.2007 | 1 | as is where is | immediately | |
109585 | Semitool | Symphony 2300 | Spray Acid Tool (1-chamber, 300mm) | 300 mm | 1 | inquire | |||
109591 | Semitool | Sirius | HydrOzone wafer cleaning system | 1 | inquire | ||||
112922 | Semitool | 4600L-5-2-E-VT | Single Stack SRD | Up to 380MM | 1 | as is where is | |||
112923 | Semitool | ST 440S | Single Stack SRD | 100 mm | 1 | as is where is | |||
112924 | Semitool | ST 460S | Single Stack SRD | 5" | 1 | as is where is | |||
112925 | Semitool | ST-240D | Double Stack SRD | 75 mm | 1 | as is where is | |||
112926 | Semitool | ST-240D | Double Stack SRD | 75mm | 1 | as is where is | |||
112927 | Semitool | ST-260D | Benchtop SRD | 1 | as is where is | ||||
112928 | Semitool | ST-460 SRD | Single Stack SRD | Up to 125mm | 1 | as is where is | |||
112929 | Semitool | ST-840 SRD | Double Stack SRD | Up to 100mm | 3 | as is where is | |||
112930 | Semitool | ST-860 SRD | Double Stack SRD | Up to 125mm | 1 | as is where is | |||
112931 | Semitool | ST-860 SRD | Double Stack SRD | Up to 125mm | 1 | as is where is | |||
113984 | Semitool | SST421 | Spray Solvent Tool | 200 mm | 1 | inquire | |||
113985 | Semitool | SST421 | Spray Solvent Tool | 200 mm | 1 | inquire | |||
113986 | Semitool | SST421 Scepter | Spray Solvent Tool | 200 mm | 1 | inquire | |||
108874 | SEMITOOL | WST 406MG | Wafer Spray Solvent Tool | 1 | inquire | ||||
84342 | Semitool | ST-240 | Spin Rinse Dryer for up to 6 inch wafers | 3 inch | 1 | as is where is | immediately | ||
114046 | Semitool | Raider ECD | ECD (Electro Chemical Deposition) | 300 mm | 1 | as is where is | |||
84351 | Semitool | ST-240 | Spin Rinse Dryer for up to 6 inch wafers | 5 inch | 1 | as is where is | immediately | ||
114047 | Semitool | Raider ECD | ECD (Electro Chemical Deposition) | 300 mm | 1 | as is where is | |||
114048 | Semitool | Raider ECD | ECD (Electro Chemical Deposition) | 300 mm | 1 | as is where is | |||
84364 | Semitool | PA-72-30M | SRD Rotor for 3 inch wafers | spares | 1 | as is where is | immediately | ||
84365 | Semitool | A72-20M | SRD Rotor for 2 inch wafers | Spares | 1 | as is where is | immediately | ||
18839 | SEMITOOL | 2080S(OAZP)CBE | Equipment manual | 1 | as is where is | ||||
113305 | Semitool | SAT 200 | Wet Etching | 200 mm | 01.03.2011 | 1 | as is where is | immediately | |
112291 | Semitool | Raider ECD | ECD Electroplating | 300 mm | 01.06.2010 | 1 | as is where is | ||
112292 | Semitool | Raider ECD312 | ECD Electroplating | 300 mm | 01.06.2011 | 1 | as is where is | ||
114344 | Semitool | Raider / R208FMC2 | Electrochemical deposition | 200 mm | 01.06.2005 | 1 | as is where is | ||
114345 | Semitool | Storm III | Wafer Cassette Cleaner | 200 mm | 01.06.1998 | 1 | as is where is | ||
108202 | SEMITOOL | EO212PM | Cu PLTNG | 01.06.1998 | 1 | as is where is | |||
108204 | SEMITOOL | WSST | Water Soluble Strip Tool | 01.06.1996 | 1 | as is where is | |||
108205 | SEMITOOL | WST305M | Spin Dry | 1 | as is where is | ||||
108974 | Semitool | PSC 101 | Double Stack SRD (Set up for solar wafer use) | 100 mm, M0, M2 | 01.02.1999 | 1 | as is where is | immediately | |
106946 | Semitool | ST-921R-AA | Spin Rinse Dryer, will take up to 6 inch wafers | 4 inch | 2 | as is where is | immediately | ||
113602 | Semitool | Solvent Spray Tool | Solvent Spray Tool | 150 mm | 01.06.1994 | 1 | as is where is | ||
113608 | Semitool | Semitool Spray tool | Solvent Spray Tool | 150 mm | 1 | as is where is | |||
113609 | Semitool | Semitool Sirius | Solvent Spray Tool | 150 mm | 01.06.2002 | 1 | as is where is | ||
109285 | Semitool | Equinox Cu | Cu Plating tool with 4 plating chambers and 5 preclean chambers | 200 mm | 01.06.1999 | 1 | as is where is | immediately | |
111877 | Semitool Inc. | SST-C-421-280 | Batch Wafer Processing | 200mm | 1 | as is where is | |||
108715 | Semix | Tazmo | SOG track | 150 mm | 1 | inquire | immediately | ||
114346 | SEN | NV-GSD-III 180 | High Current Ion implanter | 200 mm | 1 | as is where is | |||
114347 | SEN | NV-GSD-III-LED | Low Energy, High Current Ion Implanter | 200 mm | 01.06.1999 | 1 | as is where is | ||
114170 | SEN | SHX II | High Current Implanter | 300 mm | 1 | as is where is | |||
78169 | Sensarray | 1530D-8-0023 | Process Probe Instrumented Wafer | 200 mm | 01.05.2003 | 1 | as is where is | immediately | |
78170 | Sensarray | 1530D-8-0023 | Process Prober Instrumented Wafer | 200 mm | 01.07.2003 | 1 | as is where is | immediately | |
109074 | Sensofar | PLu Neox Optical 3D Surface Profiler with motorized XY stage, 4 Lens (10X, 20X, 50X, 100X), anti vibration table | Optical 3D Surface Profiler | 01.06.2012 | 1 | as is where is | |||
108696 | SENTECH | Senduro 300 | Thin Film measurement | Up to 300 MM | 01.06.2008 | 1 | inquire | ||
112932 | Seren | CEX-6 | RF Generator | SPARES | 2 | as is where is | |||
112933 | Seren | IPS-R300 | RF Generator 300W 13.56 MHZ | SPARES | 1 | as is where is | |||
112934 | Seren | R601 | RF Generator 600 W 13.56 MHZ | SPARES | 6 | as is where is | |||
107898 | Seren | L301 | RF Generator | Spares | 1 | as is where is | immediately | ||
107903 | Seren | L601 | RF Generator | Spares | 1 | as is where is | immediately | ||
110468 | Seren | AT20 | Match | SPARES | 1 | inquire | |||
110469 | Seren | AT30 | Match | SPARES | 1 | inquire | |||
110470 | Seren | AT35 | Match | SPARES | 1 | inquire | |||
110471 | Seren | AT35DSE2 | Match | SPARES | 1 | inquire | |||
110472 | Seren | AT35VFC | Match | SPARES | 1 | inquire | |||
107913 | Seren | R601 | RF Generator | Spares | 1 | as is where is | |||
110473 | Seren | AT6 | Match | SPARES | 1 | inquire | |||
110474 | Seren | AT6 | Match | SPARES | 1 | inquire | |||
110475 | Seren | AT6M | Match | SPARES | 1 | inquire | |||
110476 | Seren | Controller | Miscellaneous | SPARES | 1 | inquire | |||
110477 | Seren | I1827MWF | RF Generator | SPARES | 1 | as is where is | immediately | ||
110478 | Seren | I1827MWF | RF Generator | SPARES | 1 | as is where is | immediately | ||
110479 | Seren | I1827MWF | Generator | SPARES | 1 | inquire | |||
110480 | Seren | I1827MWF | Generator | SPARES | 1 | as is where is | immediately | ||
110481 | Seren | I1827MWF | RF Generator | SPARES | 1 | as is where is | immediately | ||
110482 | Seren | I2000 | Generator | SPARES | 1 | inquire | |||
110483 | Seren | L301 | Generator | SPARES | 1 | inquire | |||
110484 | Seren | L301 | Generator | SPARES | 1 | inquire | |||
110485 | Seren | L301 | Generator | SPARES | 1 | inquire | |||
110486 | Seren | L301 | Generator | SPARES | 1 | inquire | |||
110487 | Seren | L301 | Generator | SPARES | 1 | inquire | |||
110488 | Seren | L301 | Generator | SPARES | 1 | inquire | |||
110489 | Seren | L301 | Generator | SPARES | 1 | inquire | |||
110490 | Seren | L601 | Generator | SPARES | 1 | inquire | |||
110491 | Seren | L601 | Generator | SPARES | 1 | inquire | |||
110492 | Seren | MC2 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110493 | Seren | MC2 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110494 | Seren | MC2 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110495 | Seren | MC2 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110496 | Seren | MC2 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110497 | Seren | MC2 Controller | Miscellaneous | SPARES | 1 | inquire | |||
110498 | Seren | MCRS | Match | SPARES | 1 | inquire | |||
110499 | Seren | PSRS | Generator | SPARES | 1 | inquire | |||
110500 | Seren | R2001 | Generator | SPARES | 1 | as is all rebuilt | |||
110501 | Seren | R3001 | Generator | SPARES | 1 | inquire | |||
110502 | Seren | R601 | Generator | SPARES | 1 | inquire | |||
110503 | Seren | Seren Match | Match | SPARES | 1 | inquire | |||
109525 | SEREN | R301MKⅡ | RF GENERATOR | Spares | 1 | as is where is | |||
110504 | SET | SET 1500 | Match | SPARES | 1 | inquire | |||
110505 | SET | SET 1500 | Match | SPARES | 1 | inquire | |||
110506 | SET | SET 1500 | Match | SPARES | 1 | inquire | |||
108875 | SEZ | Chemical Storage Cabinet, 2ea Available | SPARES | 1 | inquire | ||||
113801 | SEZ | 101 | WET Etch Oxide \ Silicon spin processor | 150 mm | 01.06.1997 | 1 | as is where is | ||
113802 | SEZ | 102 | WET Etch Oxide \ Silicon spin processor | 150 mm | 01.06.1997 | 1 | as is where is | ||
112293 | SEZ | RST201 | WET Single Cleaning | 200 mm | 01.06.1996 | 1 | as is where is | ||
106516 | SFI | Endeavor AT | PVD cluster tool | 150 mm | 1 | as is where is | immediately | ||
106517 | SFI | Endeavor AT | PVD cluster tool | 150 mm | 01.06.1990 | 1 | as is where is | immediately | |
74255 | SGL Carbon / Ringsdorff | 3PC1396/2 | Heater 18 inch Graphite 3PC1396 2 | spares | 01.10.2003 | 3 | as is where is | ||
108054 | Shibasoku | S230 | Automated test equipment | TEST | 1 | as is where is | |||
114467 | SHIBAURA | TFC2100 | FLIP CHIP BONDER WITH LOADER AND UNLOADER | Assembly | 1 | as is where is | |||
114468 | SHIBAURA | TFC3200H | FLIP CHIP BONDER WITH LOADER AND UNLOADER | Assembly | 1 | as is where is | |||
111464 | Shibaura | CDE -80 | Dry Etcher | 200 mm | 1 | as is where is | immediately | ||
112294 | Shibaura | ICE/μASH300 | Asher | 300 mm | 01.06.2003 | 1 | as is where is | ||
111879 | Shibaura Engineering Works Ltd. | CDE-300 | Metal Etch | 300mm | 1 | as is where is | |||
114488 | SHIBUYA | ETM 465 | TAPER | Assembly | 1 | as is where is | |||
114489 | SHIBUYA | EH-182 | DIE SORTER | Assembly | 1 | as is where is | |||
114490 | SHIBUYA | EH-184M | DIE SORTER | Assembly | 1 | as is where is | |||
114491 | SHIBUYA | EH-170 | DIE SORTER | Assembly | 4 | as is where is | |||
108102 | Shibuya | EH162 | TestHandler | TEST | 1 | as is where is | |||
108103 | Shibuya | EH520 | TestHandler | TEST | 1 | as is where is | |||
77161 | Shimaden | SR91-8P-90-1N0 | Temperature Regulator | Spares | 1 | as is where is | immediately | ||
83548 | Shindengen | SDC05150G | 5V 150 A Regulated power supply, Advantest T5335P | Spares | 1 | as is where is | immediately | ||
114450 | SHINKAWA | SPA400 | DIE BONDER | Assembly | 1 | as is where is | |||
114451 | SHINKAWA | SPA300SUPER | DIE BONDER | Assembly | 10 | as is where is | |||
114462 | SHINKAWA | UTC1000 SUPER | WIRE BONDER | Assembly | 2 | as is where is | |||
114465 | SHINKAWA | COF1000 | FLIP CHIP BONDER WITH LOADER AND UNLOADER | Assembly | 1 | as is where is | |||
114466 | SHINKAWA | COF300 | FLIP CHIP BONDER WITH LOADER AND UNLOADER | Assembly | 1 | as is where is | |||
108594 | SHINKAWA | COF 300 | Flip Chip Bonder | Assembly | 01.05.2005 | 5 | as is where is | immediately | |
108595 | SHINKAWA | UTC-2000 SUPER | Wire Bonder | Assembly | 01.05.2008 | 1 | as is where is | immediately | |
108596 | SHINKAWA | UTC-1000 SUPER | Wire Bonder | Assembly | 01.05.2007 | 21 | as is where is | immediately | |
108597 | SHINKAWA | UTC-3000WE | Wire Bonder | Assembly | 01.05.2012 | 1 | as is where is | immediately | |
105873 | Shinmei Keiki | 0-1 kgf/cm2 | pressure gauge | spares | 2 | as is where is | immediately | ||
93409 | Shinwa Controls Co., Ltd. | CH1-CP-D1 | CH1-CP-D1 | 1 | as is where is | ||||
76610 | SHOWA | 341 | Laboratory Power Supply - 4 channel | laboratory | 01.05.1998 | 1 | as is where is | immediately | |
76611 | SHOWA ELECTRONICS | 511-16 | REGULATED DC POWER SUPPLY | laboratory | 1 | as is where is | immediately | ||
110744 | Siconnex | Produce 200 Acid | Wet Cleaning System | 200 mm | 01.06.2008 | 1 | as is where is | ||
106741 | SIGMAMELTEC | SFG3000 | Photomask WET cleaning system | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
112935 | Signatone | S 463-E | Prober | 1 | as is where is | ||||
112936 | Signatone | S-250-5 | Prober | 1 | as is where is | ||||
112937 | Signatone | S-250-6 | Prober | 1 | as is where is | ||||
112938 | Signatone | S-465 | Prober | 1 | as is where is | ||||
114348 | Signatone | CM-465-22 | Wafer Prober | N/A | 1 | as is where is | |||
114349 | Signatone | S-M90 | Wafer Prober | N/A | 1 | as is where is | |||
106533 | Sikama | Falcon 8500 | REFLOW OVEN | SMT | 01.06.2015 | 1 | as is where is | immediately | |
97080 | Singulus | S-000414 | Singular | 05.03.2014 | 1 | inquire | |||
106878 | Singulus | Singular XP | ICP PECVD system for solar cells production | Solar | 01.06.2015 | 3 | as is where is | immediately | |
113332 | Singulus | Singular XP | ICP-PECVD deposition system for solar wafers | 156 mm square (200 mm) | 01.06.2015 | 1 | as is where is | immediately | |
84237 | SKF | 6002-2Z | bearings | Spares | 1 | as is where is | immediately | ||
84225 | SMC | CY 4R08 | CY3B15-300 CYLINDER | Spares | 1 | as is where is | immediately | ||
84236 | SMC | ZPT25US-B5 | Suction cups | Spares | 1 | as is where is | immediately | ||
84256 | SMC | WO 36517 | RODLESS CYLINDER | 01.02.1994 | 1 | as is where is | immediately | ||
84259 | SMC | CMFN20-50 | AIR CYLINDER | 1 | as is where is | immediately | |||
84262 | SMC | CDGBN20-204 | AIR CYLINDER | 3 | as is where is | immediately | |||
84263 | SMC | CDM2BZ20-125 | AIR CYLINDER | 2 | as is where is | immediately | |||
84264 | SMC | CMFN20-50 | AIR CYLINDER | 1 | as is where is | immediately | |||
84267 | SMC | CDM2RA20-190 | AIR CYLINDER | 1 | as is where is | immediately | |||
84268 | SMC | CDG1FA20-222 | AIR CYLINDER | 1 | as is where is | immediately | |||
84269 | SMC | CDJ2F16 | AIR CYLINDER | 1 | as is where is | immediately | |||
111410 | SMC | INR-244-646 | Chiller | 1 | as is where is | immediately | |||
111437 | SMC | INR-498-001B | Chiller | 1 | as is where is | immediately | |||
83547 | SMC | IRS_056/09/GT | RODLESS PNEUMATIC CYLINDER *NEW* | 01.01.2008 | 1 | as is where is | immediately | ||
77152 | SMC | RL17858 1030567 | 0.8MPS RODLESS PNEUMATIC CYLINDER *NEW* | 01.01.2008 | 1 | as is where is | immediately | ||
77157 | SMC | ZX1101-K15LZB-D21L-X121 | Vacuum GENERATOR ZXF35 | Spares | 1 | as is where is | immediately | ||
77163 | SMC | CDRB2BWU20-270S | ACTUATOR, ROTARY, VANE TYPE | Spares | 1 | as is where is | immediately | ||
84079 | SMC | CDY1S15H | TESTED | 01.07.1994 | 2 | as is where is | |||
105839 | SMC | INR-498-050 | Single loop chiller | FACILITIES | 1 | as is where is | immediately | ||
111481 | SMC | XT494-001 | Chiller | 1 | as is where is | immediately | |||
111482 | SMC | INR-498-043 | Chiller | 1 | as is where is | immediately | |||
111483 | SMC | INR-498-016C-X007 | Chiller | 1 | as is where is | immediately | |||
111484 | SMC | INR-498-016C | Chiller | 1 | as is where is | immediately | |||
111485 | SMC | INR-498-016B | Chiller | 1 | as is where is | immediately | |||
111486 | SMC | INR-498-012D-X007 | Chiller | 1 | as is where is | immediately | |||
83839 | SMC | CDQSWB20-35DC | COMPACT CYLINDER | Spares | 3 | as is where is | immediately | ||
111487 | SMC | INR-498-016B | Chiller | 1 | as is where is | immediately | |||
83840 | SMC | ECQ2B32-10DC | ACTUATOR, 32MM CQ2 DOUBLE-ACTING | Spares | 3 | as is where is | immediately | ||
111488 | SMC | INR-498-012C-X012 | Chiller | 1 | as is where is | immediately | |||
111489 | SMC | INR-498-012C-X007 | Chiller | 1 | as is where is | immediately | |||
83842 | SMC | CQ2B25-25D | ACTUATOR CYLINDER | Spares | 5 | as is where is | immediately | ||
111490 | SMC | INR-498-012B | Chiller | 1 | as is where is | immediately | |||
83843 | SMC | CQ2B25-20DC | ACTUATOR, CQ2 COMPACT CYLINDER | Spares | 3 | as is where is | immediately | ||
83844 | SMC | ECDQ2B32-30D | CQ2 COMPACT CYLINDER | Spares | 2 | as is where is | immediately | ||
111492 | SMC | INR-498-001B | Chiller | 1 | as is where is | immediately | |||
83845 | SMC | ECDQ2B32-50D | COMPACT CYLINDER | Spares | 1 | as is where is | immediately | ||
111493 | SMC | INR-498-001A | Chiller | 1 | as is where is | immediately | |||
111494 | SMC | INR-497-100 | Chiller | 1 | as is where is | immediately | |||
111495 | SMC | INR-496-003D-X007 | Chiller | 1 | as is where is | immediately | |||
111496 | SMC | INR-496-003D | Chiller | 1 | as is where is | immediately | |||
111497 | SMC | INR-496-003C | Chiller | 1 | as is where is | immediately | |||
111498 | SMC | INR-496-001D | Chiller | 1 | as is where is | immediately | |||
111500 | SMC | INR-496-001C | Chiller | 1 | as is where is | immediately | |||
111501 | SMC | INR-341-59A | Chiller | 1 | as is where is | immediately | |||
111502 | SMC | INR-341-54D | Chiller | 1 | as is where is | immediately | |||
111503 | SMC | INR-341-54C | Chiller | 1 | as is where is | immediately | |||
111504 | SMC | HRB4007Z-X003 | Chiller | 1 | as is where is | immediately | |||
83867 | SMC | MXS16-30 AS | cyl, slide table, MXS/MXJ GUIDED CYLINDER | SPARES | 1 | as is where is | immediately | ||
83612 | SMC | CDRB2BWU20-270S | ACTUATOR, ROTARY, VANE TYPE | Spares | 1 | as is where is | immediately | ||
83868 | SMC | CDQ1B32-40D | CYL compact, CQ2 COMPACT CYLINDER | SPARES | 1 | as is where is | immediately | ||
83869 | SMC | CDQ1B40-20DM | CYLINDER compact, CQ2 COMPACT CYLINDER | SPARES | 1 | as is where is | immediately | ||
83872 | SMC | DF9N | VALVES | SPARES | 2 | as is where is | immediately | ||
83632 | SMC | MHF2-12D1R | SMC cylinder | 1 | as is where is | immediately | |||
111570 | SMC | INR-494-015 | Thermo Chiller | 1 | as is where is | immediately | |||
111572 | SMC | INR-496-003D-X007 | Thermo Chiller | 0 | as is where is | immediately | |||
111573 | SMC | INR-244-385B | Thermo-con Heat Exchanger | 1 | as is where is | immediately | |||
110806 | SMC | HRS050-WN-20 | Thermo Chiller | chiller | 01.11.2016 | 1 | |||
111574 | SMC | INR-244-323-38 | Thermo-con Chiller | 1 | as is where is | immediately | |||
111575 | SMC | INR-496-003D | Thermo Chiller | 1 | as is where is | immediately | |||
93407 | SMC | INR-341-59B | CHILLER | Chiller | 1 | as is where is | immediately | ||
93408 | SMC | INR-341-59A | INR-341-59A | 1 | as is where is | ||||
93410 | SMC | INR-499-201 | Chiller | Chiller | 1 | as is where is | immediately | ||
93411 | SMC | INR-499-203 | INR-499-203 | CHILLER | 1 | as is where is | |||
93414 | SMC | INR-341-59B1 | chiller | CHILLER | 1 | as is where is | |||
84214 | SMC | ULUSP-00005 | PNEUMATIC MANIFOLD BASE BLOCK with SMC VL-14 VL-15 VL-16 | Spares | 2 | as is where is | immediately | ||
109031 | SMT | Max QM3000 | Automatic Pick and Place Machine | SMT | 01.04.2022 | 1 | as is where is | immediately | |
110631 | Sokudo | SK-3000 | Lithography Coater and Developer | 300 mm | 1 | as is where is | |||
110632 | Sokudo | SK-3000 | Lithography Coater and Developer | 300 mm | 1 | as is where is | |||
110633 | Sokudo | SK-3000 | Lithography Coater and Developer | 300 mm | 1 | as is where is | |||
110634 | Sokudo | SK-3000 | Lithography Coater and Developer | 300 mm | 1 | as is where is | |||
110635 | Sokudo | SK-3000 | Lithography Coater and Developer | 300 mm | 1 | as is where is | |||
110636 | Sokudo | SK-3000 | Lithography Coater and Developer | 300 mm | 1 | as is where is | |||
109589 | Solitec | FlexiFab | Coater and Developer | 150 mm | 1 | inquire | |||
73208 | Solitec | 5110C | Manually loading Photoresist Spin Coater | 3 to 9 inch | 01.09.1998 | 1 | as is where is | immediately | |
111384 | SONIX | HS-1000 | Scanning Acoustic Microscope | Laboratory | 01.06.2010 | 1 | as is where is | immediately | |
113987 | Sonix | SAM | Bond Inspection Tool | 1 | inquire | ||||
108876 | SONIX | UHR-2000 | Scanning Acoustic Microscope | ASSEMBLY | 1 | inquire | |||
112295 | Sonix | SONIX VISION | SAT | ASSEMBLY | 01.06.2010 | 1 | as is where is | ||
112296 | Sonix | SONIX VISION | SAT | ASSEMBLY | 01.06.2010 | 1 | as is where is | ||
53268 | Sorensen | SS200-S0120 | Power Supply Megatest Part number 113849 | Spares | 31.05.1994 | 3 | as is where is | immediately | |
83505 | SORENSEN | 220 VOLTS | POWER SUPPLY | 220 VOLTS | 30.11.1994 | 3 | as is where is | immediately | |
84366 | Sosul | Etch Kit | 6" Etch kit for Sosul 2300 | 6" | 1 | as is where is | immediately | ||
7689 | Special Optics | Beam Enlarger for Argon Ion Laser | Beam Enlarger for Argon Ion laser | parts | 01.06.1992 | 2 | as is where is | immediately | |
7690 | Special Optics | Fourier Transform Lens | Fourier transform Lens for Argon Ion laser | parts | 01.06.1992 | 2 | as is where is | immediately | |
53037 | Special Optics | Half silvered mirror 10" X 14" | spares | 1 | as is where is | immediately | |||
53038 | Special Optics | Custom | Motorized Iris 6" | spares | 1 | as is where is | immediately | ||
53039 | Special Optics | Mirror, 9" X 7" | spares | 1 | as is where is | immediately | |||
53040 | Special Optics | APOD #113 | spares | 1 | as is where is | immediately | |||
53043 | Special Optics | Beam expander | spares | 1 | as is where is | immediately | |||
113920 | SPTS | SIGMA 200 | TF Metal PVD Al Metal Sputter | 150 mm | 01.06.1994 | 1 | as is where is | ||
113921 | SPTS | SIGMA 200 | TF Metal PVD Al Metal Sputter | 150 mm | 01.06.1995 | 1 | as is where is | ||
113922 | SPTS | SIGMA 200 | TF Metal PVD Al Metal Sputter | 150 mm | 01.06.1995 | 1 | as is where is | ||
112939 | SPTS | MXP Multiplex ICP ASE HR | ICP ETCHER | 01.06.2003 | 1 | as is where is | |||
112940 | SPTS | MXP Multiplex ICP HR | Chlorine Etch | 150 MM | 01.06.2003 | 1 | as is where is | ||
110507 | SPTS | A45494 | Match | SPARES | 1 | inquire | |||
110508 | SPTS | A48870R | Match | SPARES | 1 | inquire | |||
110509 | SPTS | AC1948 | Match | SPARES | 1 | inquire | |||
110510 | SPTS | AS307416.07 | Match | SPARES | 1 | inquire | |||
110511 | SPTS | AS310777.04 | Match | SPARES | 1 | inquire | |||
110512 | SPTS | AS314055-03 | Match | SPARES | 1 | as is where is | immediately | ||
110513 | SPTS | AS317624.A | Match | SPARES | 1 | inquire | |||
110514 | SPTS | AS317624.H | Match | SPARES | 1 | inquire | |||
110515 | SPTS | AS318775.07 | Match | SPARES | 1 | inquire | |||
110516 | SPTS | AS318775.07 | Match | SPARES | 1 | inquire | |||
110517 | SPTS | AS325489.01 | Match | SPARES | 1 | inquire | |||
110518 | SPTS | AS325489.02 | Match | SPARES | 1 | inquire | |||
110519 | SPTS | AS325490.01 | Match | SPARES | 1 | inquire | |||
110520 | SPTS | AS325490.01 | Match | SPARES | 1 | inquire | |||
102623 | SPTS | Omega 201 | Plasma Dry etcher (For spares use) | 200 mm | 01.05.2010 | 1 | as is where is | immediately | |
113907 | SPTS | SIGMA FXP | TF Metal PVD Al Metal Sputter | 150 mm | 01.06.1999 | 1 | as is where is | ||
113908 | SPTS | SIGMA FXP | TF Metal PVD Al Metal Sputter | 150 mm | 01.06.2001 | 1 | as is where is | ||
113911 | SPTS | PLANAR 200 | TF Silan - PECVD FLOW FILL | 150 mm | 01.06.1995 | 1 | as is where is | ||
113912 | SPTS | PLANAR 200 | TF Silan - PECVD FLOW FILL | 150 mm | 01.06.1996 | 1 | as is where is | ||
113913 | SPTS | PLANAR 200 | TF Silan - PECVD FLOW FILL | 150 mm | 01.06.2000 | 1 | as is where is | ||
113914 | SPTS | PLANAR 200 | TF Silan - PECVD PLASMA | 150 mm | 01.06.1995 | 1 | as is where is | ||
113915 | SPTS | PLANAR 200 | TF Silan - PECVD PLASMA | 150 mm | 01.06.1996 | 1 | as is where is | ||
113916 | SPTS | PLANAR 200 | TF Silan - PECVD PLASMA | 150 mm | 01.06.2000 | 1 | as is where is | ||
113917 | SPTS | SIGMA 200 | TF Metal PVD Al Metal Sputter | 150 mm | 01.06.1996 | 1 | as is where is | ||
113918 | SPTS | SIGMA 200 | TF Metal PVD Al Metal Sputter | 150 mm | 01.06.1993 | 1 | as is where is | ||
113919 | SPTS | SIGMA 200 | TF Metal PVD Al Metal Sputter | 150 mm | 01.06.1995 | 1 | as is where is | ||
84414 | SQUARED | SBO-2 | SWITCH | 3536 | 3 | as is where is | immediately | ||
108706 | SSEC | WaferStorm 3300 | Single Wafer Cleaning System | 200 mm | 01.06.2005 | 1 | inquire | ||
112297 | SSEC | M3306 | WET Single Cleaning | 300 mm | 01.06.2009 | 1 | as is where is | ||
110780 | SSM | 5130 Hg-CV | Hg-CV measurement system | up to 12 inch | 01.01.2004 | 1 | as is where is | immediately | |
108773 | SSM | 470i | CV Plotter | 1 | inquire | ||||
106742 | SSM | Fastgate 5200 | Resistivity / CV Measurement | 200 mm | 01.06.2008 | 1 | as is where is | immediately | |
95233 | ST Automation | MT 32 SX | Fully Automated Memory Test System for BIST and NAND Memories | TEST | 30.11.2005 | 1 | inquire | immediately | |
86279 | ST Automation | MT32SX | Flash Memory testing System | TEST | 30.06.2005 | 1 | as is where is | immediately | |
86280 | ST Automation | PT-M1 | Automated Test System | Test | 1 | as is where is | immediately | ||
80177 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately | |
80178 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately | |
80179 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately | |
80180 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately | |
78133 | ST Automation | QT200 | Test System | test | 31.05.2007 | 1 | as is where is | immediately | |
80181 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately | |
80182 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately | |
80183 | ST Automation | QT200 | Automated Tester System with monitor | test | 01.10.2007 | 1 | as is where is | immediately | |
80184 | ST Automation | QT EPR16 DD | Automated Flash Memory Tester System with monitor | TEST | 30.09.2007 | 1 | as is where is | immediately | |
78137 | ST Automation | QT200 | Tester System with monitor | test | 1 | as is where is | immediately | ||
78138 | ST Automation | R.S.V. | ST Memory Test System Electronic Automation | test | 31.03.2007 | 1 | as is where is | immediately | |
102494 | ST Automation | MT32SX | Automated Flash Memory Testing System FOR TESTING 256 MB MEMORY | TEST | 30.09.2007 | 1 | as is where is | immediately | |
93822 | ST Automation | EPR88 | Automated Test System | TEST | 31.05.2005 | 1 | as is where is | immediately | |
99969 | ST Automation | QT200 | Automated Tester System with monitor | test | 31.05.2005 | 1 | as is where is | immediately | |
86670 | ST Automation | QT200 | Automated Test System | TEST | 31.05.2005 | 1 | as is where is | immediately | |
93865 | ST Automation | QT 200 epr 88 | Flash Memory Testing System | Test | 31.05.2005 | 1 | as is where is | immediately | |
101848 | ST Automation | MT32SX | Automated Flash Memory Testing System | test | 31.05.2007 | 1 | as is where is | immediately | |
71904 | ST Automation | test head | test head for Eprom U 1835 | 1 | as is where is | ||||
71908 | ST Automation | PTM1 | Flash Memory Tester | Test | 3 | as is where is | immediately | ||
71910 | ST Automation | MT32SX | Flash Memory Test System for 256 MB memory testing | TEST | 01.05.2008 | 1 | as is where is | immediately | |
84376 | STARTECH | GC9SF | GENDER CHANGER | 1 | as is where is | immediately | |||
84297 | Staubli | 308998-001 | RX90 robot controller | spares | 1 | as is where is | immediately | ||
18864 | STEAG AST | 98050479 | AST machine documentation | 1 | as is where is | ||||
112941 | Steag Hamatech | Custom | Mask / reticle cleaner | 6.5 inch square max. | 01.06.1993 | 1 | as is where is | immediately | |
114509 | STI | TR98 | TAPE AND REEL | Assembly | 1 | as is where is | |||
112016 | STI | Hexa max | Tape and Reel | SMT | 01.09.2010 | 1 | as is where is | immediately | |
112942 | Stokes Vacuum | Microvac 212 | Mechanical Pump | Pump | 3 | as is where is | |||
111884 | Strasbaugh | 6DS-SP | Multi-Process CMP | 200 mm | 01.06.1995 | 12 | as is where is | immediately | |
114350 | Strasbaugh | Grinder 7AF | Wafer Grinder | 200 mm | 1 | as is where is | |||
110521 | STS | ICP BALUN MATCH | Match | SPARES | 1 | as is where is | |||
111889 | Sumitomo Eaton Nova (SEN) | SHX II | High Current Implanter | 300mm | 1 | as is where is | |||
84022 | Sun | Ultrasparc 60 | Unix computer from Teradyne J994 | Test | 31.12.1996 | 1 | as is where is | immediately | |
84023 | Sun | Ultrasparc 60 (Hard Disk Drive) | Hard Disk from Unix computer from Teradyne J994 | Test | 01.01.1997 | 1 | as is where is | immediately | |
84380 | SUNX | SS-A5 | SENSOR CONNECTIONS | 6 | as is where is | immediately | |||
84381 | SUNX | CX-21/FX/SU | SENSOR SYSTEM | C8 | 6 | as is where is | immediately | ||
84382 | SUNX | SU-7 LO | SENSOR & SYSTEM | HB 012 | 3 | as is where is | immediately | ||
84383 | SUNX | GSA-5S | QUALITY PROXIMITY SENSOR | HB 012 | 2 | as is where is | immediately | ||
84384 | SUNX | SS-AT1 / SS2-300E | SENSOR SYSTEM | 2 | as is where is | immediately | |||
84385 | SUNX | SH-21E | SENSOR SYSTEM | 1 | as is where is | immediately | |||
69782 | Super vexta | udk5114n | 5-phase driver | 1 | as is where is | immediately | |||
70302 | SURPASS | PTC 3/8 NU | PRESSURE SENSOR FOR EBARA FREX 200 | SPARES | 1 | as is where is | immediately | ||
113988 | Suss | MA6 | Mask Aligner | 150 mm | 1 | inquire | |||
113989 | Suss | MA6/BA6 | Mask Aligner | 150 mm | 1 | inquire | |||
114245 | SUSS | ACS200 | Photoresist coater track | 200 mm | 1 | as is where is | immediately | ||
113990 | Suss | MJB3 | 350W Mask Aligner | 75 mm | 1 | inquire | |||
114246 | SUSS | ACS200 | Photoresist developer track with qty 2 developers | 200 mm | 1 | as is where is | immediately | ||
113991 | Suss | MJB3 | Mask Aligner | 75 mm | 1 | inquire | |||
114247 | SUSS | ACS300 PLUS | Photoresist developer | 200 mm | 1 | as is where is | immediately | ||
113992 | Suss | MJB3 | Mask Aligner | 75 mm | 1 | inquire | |||
114351 | SUSS | AS8 | Photoresist coater and developer | 200 mm | 1 | as is where is | |||
98497 | SUSS | ACS200 | Photoresist coater and developer track, 1C, 1 D | 200 mm | 1 | as is where is | immediately | ||
108299 | Suss MicroTec | CB200 | High Pressure Wafer Bonding Chamber | 200 mm | 01.06.2012 | 1 | as is where is | immediately | |
106535 | SUSS Microtec | ACS200 | Automated Photoresist Coater | 200 mm | 1 | as is where is | immediately | ||
106536 | SUSS Microtec | ACS200 | Automated Photoresist Coater / Developer | 150 mm/200 mm | 1 | as is where is | immediately | ||
106537 | SUSS Microtec | ACS200 Classic | Automated Photoresist Coater - developer track | 200 mm | 1 | as is where is | immediately | ||
106538 | SUSS Microtec | ACS200 Classic | Automated Photoresist Coater Track | 150 mm/200 mm | 1 | as is where is | immediately | ||
106539 | SUSS Microtec | ACS200 Plus | Automated Photoresist Coater and Developer Track | 150 mm/200 mm | 1 | as is where is | immediately | ||
106541 | SUSS Microtec | MA200 | MASK ALIGNER | 150 mm/200 mm | 01.06.1999 | 1 | as is where is | immediately | |
111449 | SUSS Microtec | MCS8 + SBS6 Gen 2 + DB12T + SD12 | Spin Coater + Wafer Bonder + Debonder _ Debonded wafer Cleaner | 200 mm | 01.09.2022 | 1 | as is where is | immediately | |
110737 | Suss MicroTec | Falcon | Polyimide Photo-resist Developer Track, 2D | 150-200 mm | 01.06.1996 | 1 | as is where is | immediately | |
106913 | SUSS Microtec | MA150 (Spare Parts) | spares | 1 | as is where is | 2 weeks | |||
112298 | SUSS MicroTec | CB200M | Wafer Bonder | 200 mm | 01.06.2012 | 1 | as is where is | ||
112299 | SUSS MicroTec | CBC200 | EU Bonder | 200 mm | 01.06.2006 | 1 | as is where is | ||
112300 | SUSS MicroTec | CBC200 | Wafer Bonder | 200 mm | 01.06.2013 | 1 | as is where is | ||
112301 | SUSS MicroTec | Gamma | Photoresist coater and developer track | 200 mm | 01.06.2011 | 1 | as is where is | ||
112302 | SUSS MicroTec | Gamma | Photoresist coater and developer track | 200 mm | 01.06.2012 | 1 | as is where is | ||
112303 | SUSS MicroTec | Gamma | Photoresist coater and developer track | 200 mm | 01.06.2012 | 1 | as is where is | ||
110805 | SUSS Microtec | MA200CO | Mask Aligner with topside alignment | 01.07.2014 | 0 | as is where is | immediately | ||
111891 | Suss MicroTec (Karl Suss) | ACS200 | POLYIMIDE Photoresist Coater and Developer track 2C, 1D | 200 mm | 01.12.2005 | 1 | as is where is | immediately | |
106954 | Suss MicroTec (Karl Suss) | MA150 | Mask Aligner | 150 mm | 01.06.2004 | 1 | as is where is | immediately | |
106955 | Suss MicroTec (Karl Suss) | MA150 | Mask Aligner | 150 mm | 01.06.1998 | 1 | as is where is | immediately | |
106670 | SUSS MICROTECH | RC 16 | Resist Spin Coater | N/A | 1 | as is where is | |||
106671 | SUSS MICROTECH | RC 16(RC5) | Resist Spin Coater | N/A | 1 | as is where is | |||
106672 | SUSS MICROTECH | RC 8-ACS 200 | Resist Spin Coater | 200 mm | 1 | as is where is | |||
87367 | SVG | 99-46450-01 | 9200SE SVG ASML 90 track Z-robot | Robot | 1 | as is all rebuilt | immediately | ||
108104 | SVG | 8626/8636 | Coater Track | 1 | as is where is | ||||
108105 | SVG | 8632-CTD-D | Developer Track | 1 | as is where is | ||||
114352 | SVG | RVP-9000 | LPCVD Vertical Furnace | 200 mm | 01.06.2001 | 1 | as is where is | ||
113614 | SVG | SVG7000 | BROOKS(AMAT) Sentinel, ver 3.4 - Furnace | 150 mm | 01.06.2001 | 1 | as is where is | ||
113615 | SVG | SVG7000 | BROOKS(AMAT) Sentinel, ver 3.4 - Furnace | 150 mm | 01.06.2003 | 1 | as is where is | ||
113616 | SVG | SVG7000 | BROOKS(AMAT) Sentinel, ver 3.4 - Furnace | 150 mm | 01.06.1998 | 1 | as is where is | ||
72155 | SVG /ASM | 128197-001 | HEATER ELEMENT, HCGI | Spares | 31.01.2003 | 1 | as is where is | immediately | |
108979 | SVG Thermco | 606314-02 REV E | Galil Motion Controller CMU PCB, DMC 1330 | Spares | 1 | as is where is | immediately | ||
108980 | SVG Thermco | 606314-02 REV 1 | Galil Motion Controller CMU PCB, DMC 1330 | Spares | 1 | as is where is | immediately | ||
108982 | SVG Thermco | 606180-01 rev 04 | WTU MOTION CONTROL I/F PCB | Spares | 01.06.2001 | 1 | as is where is | immediately | |
108983 | SVG Thermco | 168160-001 REV 4 | PCB, ANALOG ATMOSPHERE APL | Spares | 01.06.1998 | 1 | as is where is | immediately | |
108984 | SVG Thermco | 606210-01 REV 4 | S4 CLPB MOTION CONTROL INTERFACE PCB | Spares | 01.08.2001 | 1 | immediately | ||
108985 | SVG Thermco | VMEXB12D-CS | VMEBus J1/J2 Common Substrate Extender Board | Spares | 01.06.2004 | 1 | as is where is | immediately | |
108986 | SVG Thermco | 165220-001 REV B | EXTENDER BOARD | Spares | 1 | as is where is | immediately | ||
106941 | SVG Thermco | 168150-002 REV 3 S13 | RELAY BOARD PCB | Spares | 1 | as is where is | immediately | ||
106942 | SVG Thermco | 606200-01 REV 3 S5 | WAF CRT MOTION CONTROL I/F PCB | Spares | 01.06.2001 | 1 | as is where is | immediately | |
106943 | SVG Thermco | 606210-01 REV 4 S4 | CLPB MOTION CONTROL I/F PCB | Spares | 01.06.2001 | 1 | as is where is | immediately | |
106743 | SVS | MSX1000 | Auto Track | 200 mm | 1 | as is where is | |||
83909 | SWAGELOK | 12M06 | TUBE FITTINGS & VALVE | 9 | as is where is | immediately | |||
83910 | SWAGELOK | 55-8-VCO-4 | TUBE FITTINGS | 5 | as is where is | immediately | |||
83911 | SWAGELOK | SS-4-VCO-3 | TUBE FITTINGS | 15 | as is where is | immediately | |||
83912 | SWAGELOK | SS-4-VCO-4 | TUBE FITTINGS | 9 | as is where is | immediately | |||
83913 | SWAGELOK | SS-605-4 | TUBE FITTINGS | 12 | as is where is | immediately | |||
83915 | SWAGELOK | GLV-4MW-3 | WELD FITTINGS | L-606A | 2 | as is where is | immediately | ||
83919 | SWAGELOK | 207/235/332 | TUBE FITTINGS | 4 | as is where is | immediately | |||
80257 | Swagelok / CAJON | SS-6-VC0 | FITTINGS | spares | 8 | as is where is | immediately | ||
33413 | SYNAX | SX3100 | Fully Automated test Handler, ambient and hot configured. | TEST | 01.05.2006 | 1 | as is all rebuilt | immediately | |
33414 | SYNAX | SX3100 | Fully Automated test Handler, ambient and hot configured. | test | 01.05.2006 | 1 | as is all rebuilt | immediately | |
114545 | SYNERGIE CAD | SW5525 | LOAD BOARD | SPARES | 1 | as is where is | |||
114546 | SYNERGIE CAD | SW5009 | LOAD BOARD | SPARES | 1 | as is where is | |||
114547 | SYNERGIE CAD | C430MX-TM-SW5084A | LOAD BOARD | SPARES | 1 | as is where is | |||
79888 | System General | T9600 | Universal Device Programmer | Electronics Test and Measurement | 01.05.2003 | 1 | as is where is | immediately | |
79890 | Systron Donner | DL 40 - 2A | Powe Supply - single and dual voltage | 1 | as is where is | immediately | |||
78136 | Sytrama | MTM 32 V01 | ST Test Head Manipulator QT 124 | 30.11.2005 | 1 | as is where is | immediately | ||
80089 | Sytrama | MTM 32 V01 | ST Test Head Manipulator QT 124 | 30.11.2005 | 1 | as is where is | immediately | ||
110522 | T&C POWER | Power Supply | Power Supply | SPARES | 1 | inquire | |||
110523 | T&C POWER | Power Supply | Power Supply | SPARES | 1 | inquire | |||
110524 | T&C POWER | Power Supply | Power Supply | SPARES | 1 | inquire | |||
110525 | T&C POWER | Power Supply | Power Supply | SPARES | 1 | inquire | |||
110526 | T&C POWER | Power Supply | Power Supply | SPARES | 1 | inquire | |||
111590 | Taitec | CH-400AHS-MO Model F | Temperature Control Unit / Chiller | CHILLER | 01.11.1999 | 2 | as is fob | immediately | |
106544 | Takatori | ATM-1100E | Film Laminator - Taping Machine | 150 mm/200 mm | 01.06.1995 | 1 | as is where is | immediately | |
111527 | TAKATORI | ATRM-2100 | Wafer Detaper | 200 MM | 01.06.1996 | 1 | as is where is | ||
111528 | TAKATORI | TSW-1 | Wafer taper | 200 MM | 01.06.1996 | 1 | as is where is | ||
108877 | TAMARACK SCIENTIFIC | Mask Aligner, Contact Mask Exposure System | 1 | inquire | |||||
109015 | Tazmo/Semix | TR 6133UD | Photoresist Coater and Developer tRACK, SOG type | 100 mm to 150 mm | 2 | as is where is | immediately | ||
83522 | TDK | E S R 05-12R-3 | SWITCHING REGULATOR | NEC CORPORATION | 1 | immediately | |||
106834 | TDK | load port | E3, E4, E4A, F1 | 30 0mm | 16 | as is where is | immediately | ||
110527 | TDK | RKW24 | Power Supply | SPARES | 1 | inquire | |||
110528 | TDK | RKW24 | Power Supply | SPARES | 1 | inquire | |||
110529 | TDK | RKW24 | Power Supply | SPARES | 1 | inquire | |||
110530 | TDK | RKW24 | Power Supply | SPARES | 1 | inquire | |||
110531 | TDK | RKW24 | Power Supply | SPARES | 1 | inquire | |||
113619 | Teblick | Teblick | Partsclean | 150 mm | 01.06.2014 | 1 | as is where is | ||
108878 | TECHNICAL MFG. CORP. | MICRO-g 62-111 | Vibration Isolation Table, 36" X 72"; 1/4"- 20 Holes on 2" Centers | SPARES | 1 | inquire | |||
108879 | TECHNICAL MFG. CORP. | MICRO-g 63-463 | Vibration Isolation Table, 48" X 30" | SPARES | 1 | inquire | |||
108880 | TECHNICAL MFG. CORP. | MICRO-g 63-661 | Vibration Isolation Table, 47" X 36" | SPARES | 1 | inquire | |||
112943 | Technics | Micro Stripper -- Series 200 | Plasma etchert | 100 MM | 1 | as is where is | |||
84502 | TED PELLA INC | CAT 622 M | TIN SPHERES ON CARBON | 17.8mm Mount | 2 | as is where is | immediately | ||
113933 | Tegal | T915 | DRY ETCH Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1994 | 1 | as is where is | ||
113934 | Tegal | T915 | DRY ETCH Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1994 | 1 | as is where is | ||
113935 | Tegal | T915 | DRY ETCH Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1994 | 1 | as is where is | ||
113936 | Tegal | T915 | DRY ETCH Dry Etch Asher \ Photo Resist Strip Stripper | 150 mm | 01.06.1994 | 1 | as is where is | ||
106150 | Tegal | 903E | Dry Etcher | 150 mm | 1 | as is all rebuilt | immediately | ||
106151 | TEGAL | 900 | Plasma dry etch | 100 mm | 01.10.1984 | 1 | as is where is | immediately | |
106152 | TEGAL | 903E | Plasma dry etch | 100 mm | 01.06.1985 | 1 | as is where is | immediately | |
114353 | Tegal | Etch 6500 | Dry Etch Nitride | 200 mm | 1 | as is where is | |||
114354 | Tegal | Etch 6500U | Dry Etch Nitride | 200 mm | 01.04.2008 | 1 | as is where is | ||
110532 | Tegal | Tegal Match | Match | SPARES | 1 | inquire | |||
110533 | Tegal | Tegal Match | Match | SPARES | 1 | inquire | |||
110534 | Tegal | Tegal Match | Match | SPARES | 1 | inquire | |||
110535 | Tegal | Tegal Match | Match | SPARES | 1 | inquire | |||
110536 | Tegal | Tegal Match | Match | SPARES | 1 | inquire | |||
110537 | Tegal | Tegal Match | Match | SPARES | 1 | inquire | |||
110538 | Tegal | Tegal Match | Match | SPARES | 1 | inquire | |||
110539 | Tegal | Tegal Match | Match | SPARES | 1 | inquire | |||
110540 | Tegal | Tegal Match | Match | SPARES | 1 | inquire | |||
110541 | Tegal | Tegal Match | Match | SPARES | 1 | inquire | |||
110542 | Tegal | Tegal Match | Match | SPARES | 1 | inquire | |||
110543 | Tegal | Tegal Match | Match | SPARES | 1 | inquire | |||
110544 | Tegal | Tegal Match | Match | SPARES | 1 | inquire | |||
110545 | Tegal | Tegal Match | Match | SPARES | 1 | inquire | |||
110546 | Tegal | Tegal Match | Match | SPARES | 1 | inquire | |||
110547 | Tegal | Tegal Match | Match | SPARES | 1 | inquire | |||
113623 | Tegal | Tegal 901e | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
113624 | Tegal | Tegal 901e | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
113625 | Tegal | Tegal 901e | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
113626 | Tegal | Tegal903e | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
113627 | Tegal | Tegal903e | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
113628 | Tegal | Tegal903e | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
113629 | Tegal | Tegal903e | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
113630 | Tegal | Tegal903e | Plasma Etch | 150 mm | 01.06.1995 | 1 | as is where is | ||
111411 | TEKTRONIX | 2245A | 100MHZ Oscilloscope | 1 | as is where is | immediately | |||
111412 | TEKTRONIX | 2247A | 100MHZ Oscilloscope Countertime | 1 | as is where is | immediately | |||
108598 | TEKTRONIX | TDS720P | Oscilloscope | 1 | as is where is | immediately | |||
76613 | Tektronix | TDS694C | Digital 3 GHz real-time oscilloscope | Electronics Test and Measurement | 01.07.2007 | 1 | as is where is | immediately | |
114536 | Tektronix | 576 | Curve Tracer | Assembly | 1 | as is where is | |||
79590 | Tektronix | TDS 544A | Color 4 channel 500 MHz digitizing oscilloscope with probes, accessories etc. | Electronics Test and Measurement | 01.05.2006 | 1 | as is where is | immediately | |
108774 | TEKTRONIX | 7704 | Oscilloscope | 1 | as is where is | ||||
79597 | Tektronix | PS 280 | DC Power supply (Working condition) | Electronics Test and Measurement | 01.05.2007 | 1 | as is where is | immediately | |
79599 | Tektronix | 11801C | Digital Sampling Oscilloscope 50 GHz | Electronics Test and Measurement | 01.01.2001 | 1 | as is where is | immediately | |
79601 | Tektronix | 2432A | Digital Oscilloscope,250 MS/s, 2 channel, with GPIB | Electronics Test and Measurement | 1 | as is where is | immediately | ||
111341 | TEL (Tokyo Electron Ltd) | ACT 8 (Parts) | Carrier Send Block, SMIF | 200 mm | 01.06.2001 | 1 | as is where is | immediately | |
98304 | TEL Tokyo Electron | INDY | Vertical Furnace | 300 mm | 31.05.2010 | 1 | as is where is | ||
112384 | TEL Tokyo Electron | Mark8 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
114176 | TEL Tokyo Electron | ALPHA-303i Anneal | Vertical Furnace | 300 mm | 1 | as is where is | |||
98305 | TEL Tokyo Electron | INDY | Vertical Furnace | 300 mm | 31.05.2010 | 1 | as is where is | ||
112385 | TEL Tokyo Electron | Mark8 | Photoresist coater and developer track | 200 mm | 01.06.1998 | 1 | as is where is | ||
114177 | TEL Tokyo Electron | ALPHA-303i Anneal | Vertical Furnace | 300 mm | 1 | as is where is | |||
112386 | TEL Tokyo Electron | Mark8 | Photoresist coater and developer track | 200 mm | 01.06.1996 | 1 | as is where is | ||
114178 | TEL Tokyo Electron | ALPHA-303i Nitride | Vertical Furnace | 300 mm | 1 | as is where is | |||
112387 | TEL Tokyo Electron | Mark8 | Photoresist coater and developer track | 200 mm | 01.06.1997 | 1 | as is where is | ||
114179 | TEL Tokyo Electron | ALPHA-303i Nitride | Vertical Furnace | 300 mm | 1 | as is where is | |||
108036 | TEL Tokyo Electron | INDY PLUS | BCD POLY | 300 mm | 01.07.2010 | 1 | as is where is | ||
112388 | TEL Tokyo Electron | Mark8 | Photoresist coater and developer track | 200 mm | 01.06.1996 | 1 | as is where is | ||
114180 | TEL Tokyo Electron | ALPHA-303i process TBD | Vertical Furnace | 300 mm | 1 | as is where is | |||
112389 | TEL Tokyo Electron | Mark8 | Photoresist coater and developer track | 200 mm | 01.06.1997 | 1 | as is where is | ||
114181 | TEL Tokyo Electron | ALPHA-303i TEOS | Vertical Furnace | 300 mm | 1 | as is where is | |||
112390 | TEL Tokyo Electron | Mark8 | Photoresist coater and developer track | 200 mm | 01.06.1998 | 1 | as is where is | ||
114182 | TEL Tokyo Electron | ALPHA-303i TEOS | Vertical Furnace | 300 mm | 1 | as is where is | |||
112391 | TEL Tokyo Electron | Mark8 | Photoresist coater and developer track | 200 mm | 01.06.1997 | 1 | as is where is | ||
114183 | TEL Tokyo Electron | Cellcia | Production Wafer Prober | 300 mm | 1 | as is where is | |||
112392 | TEL Tokyo Electron | Mark8 | Photoresist coater and developer track | 200 mm | 01.06.1997 | 1 | as is where is | ||
114184 | TEL Tokyo Electron | Cellcia | Production Wafer Prober | 300 mm | 1 | as is where is | |||
112393 | TEL Tokyo Electron | MBB-830 | PVD METAL | 200 mm | 01.06.1995 | 1 | as is where is | ||
114185 | TEL Tokyo Electron | Cellesta-i | Single Wafer Processing | 1 | as is where is | ||||
112394 | TEL Tokyo Electron | NS300 | Track Scrubber | 300 mm | 01.06.2006 | 1 | as is where is | ||
114186 | TEL Tokyo Electron | CLEAN TRACK LITHIUS Pro | Multi Block (Resist Coater/Developer) | 300 mm | 1 | as is where is | |||
112395 | TEL Tokyo Electron | NS300 | Track Scrubber | 300 mm | 01.06.2007 | 1 | as is where is | ||
114187 | TEL Tokyo Electron | NS 300 | Wafer Scrubber | 300 mm | 1 | as is where is | |||
112396 | TEL Tokyo Electron | NS300 | Track Scrubber | 300 mm | 01.06.2008 | 1 | as is where is | ||
114188 | TEL Tokyo Electron | NS 300 | Wafer Scrubber | 300 mm | 1 | as is where is | |||
112397 | TEL Tokyo Electron | NS300 | Track Scrubber | 300 mm | 01.06.2006 | 1 | as is where is | ||
114189 | TEL Tokyo Electron | NS 300 | Wafer Scrubber | 300 mm | 1 | as is where is | |||
112398 | TEL Tokyo Electron | NS300 | Track Scrubber | 300 mm | 01.06.2004 | 1 | as is where is | ||
113166 | TEL TOKYO ELECTRON | LITHIUS | 3C/2D | 300 mm | 01.06.2006 | 1 | as is where is | ||
114190 | TEL Tokyo Electron | NS 300 | Wafer Scrubber | 300 mm | 1 | as is where is | |||
112399 | TEL Tokyo Electron | NS300 | Track Scrubber | 300 mm | 01.06.2006 | 1 | as is where is | ||
114191 | TEL Tokyo Electron | NT333 | ALD (Atomic Layer Deposition) | 300 mm | 1 | as is where is | |||
112400 | TEL Tokyo Electron | NS300 | Track Scrubber | 300 mm | 01.06.2008 | 1 | as is where is | ||
114192 | TEL Tokyo Electron | NT333 | ALD (Atomic Layer Deposition) | 300 mm | 1 | as is where is | |||
112401 | TEL Tokyo Electron | NS300 | Track Scrubber | 300 mm | 01.06.2008 | 1 | as is where is | ||
113169 | TEL TOKYO ELECTRON | LITHIUS | Photoresist Coater AND Developer Track (5C/5D) | 300 mm | 01.06.2007 | 1 | as is where is | ||
114193 | TEL Tokyo Electron | NT333 | ALD (Atomic Layer Deposition) | 300 mm | 1 | as is where is | |||
112402 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2004 | 1 | as is where is | ||
114194 | TEL Tokyo Electron | P-12XL | Production Wafer Prober | 200 mm | 1 | as is where is | |||
112403 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2003 | 1 | as is where is | ||
113171 | TEL TOKYO ELECTRON | LITHIUS i+ | Photoresist Coater AND Developer Track (5C/5D) for Nikon S609B immersion scanner | 300 mm | 01.06.2006 | 1 | as is where is | ||
114195 | TEL Tokyo Electron | P-12XLn+ | Production Wafer Prober | 200 mm | 1 | as is where is | |||
112404 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
114196 | TEL Tokyo Electron | Precio | Production Wafer Prober | 300 mm | 1 | as is where is | |||
112405 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
114197 | TEL Tokyo Electron | Precio | Production Wafer Prober | 300 mm | 1 | as is where is | |||
112406 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2003 | 1 | as is where is | ||
114198 | TEL Tokyo Electron | Precio | Production Wafer Prober | 300 mm | 1 | as is where is | |||
112407 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2003 | 1 | as is where is | ||
114199 | TEL Tokyo Electron | Precio | Production Wafer Prober | 300 mm | 1 | as is where is | |||
112408 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
114200 | TEL Tokyo Electron | Precio | Production Wafer Prober | 300 mm | 1 | as is where is | |||
112409 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
114201 | TEL Tokyo Electron | Precio XL | Production Wafer Prober | 300 mm | 1 | as is where is | |||
111898 | TEL TOKYO ELECTRON | ALPHA-303i Nitride | Vertical Furnace | 300mm | 1 | as is where is | |||
112410 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2003 | 1 | as is where is | ||
114202 | TEL Tokyo Electron | Precio XL | Production Wafer Prober | 300 mm | 1 | as is where is | |||
111899 | TEL TOKYO ELECTRON | ALPHA-303i Nitride | Vertical Furnace | 300mm | 1 | as is where is | |||
112411 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2003 | 1 | as is where is | ||
114203 | TEL Tokyo Electron | Tactras Vigus - Chamber Only | Dielectric Etch | 300 mm | 1 | as is where is | |||
112412 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
114204 | TEL Tokyo Electron | TELINDY Plus ALD HighK | Vertical Furnace | 300 mm | 1 | as is where is | |||
112413 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
114205 | TEL Tokyo Electron | TELINDY Plus Anneal | Vertical Furnace | 300 mm | 1 | as is where is | |||
98846 | TEL Tokyo Electron | VIGUS Mask | Oxide Mask Etch | 300 mm | 01.04.2009 | 1 | as is where is | immediately | |
112414 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2003 | 1 | as is where is | ||
114206 | TEL Tokyo Electron | TELINDY Plus IRAD Nitride | Vertical Furnace | 300 mm | 1 | as is where is | |||
98847 | TEL Tokyo Electron | VIGUS PK2 (PARTS) | Oxide Etch EFEM ONLY | 300 mm | 01.07.2013 | 1 | as is where is | immediately | |
111903 | TEL TOKYO ELECTRON | Cellesta-i | Single Wafer Processing | 300mm | 1 | as is where is | |||
112415 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
114207 | TEL Tokyo Electron | TELINDY Plus IRAD Oxide | Vertical Furnace | 300 mm | 1 | as is where is | |||
112416 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2003 | 1 | as is where is | ||
114208 | TEL Tokyo Electron | Telius SP 305 DRM | Dielectric Etch | 300 mm | 1 | as is where is | |||
77089 | TEL TOKYO ELECTRON | 3387-002688-12 | Tel P8XL Camera assembly | Spares | 1 | as is where is | immediately | ||
112417 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
114209 | TEL Tokyo Electron | Trias EX-II Plus HT Ti/TiN | Metal CVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | |||
112418 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2003 | 1 | as is where is | ||
114210 | TEL Tokyo Electron | Trias High-k CVD | PECVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | |||
111907 | TEL TOKYO ELECTRON | CLEAN TRACK ACT 8 SINGLE BLOCK | Single Block (Resist Coater/Developer) | 200mm | 1 | as is where is | |||
112419 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
113187 | TEL Tokyo Electron | ACT 8 | Single block DUV photo track with I/F for Nikon EX14, L to R flow | 200 mm | 01.06.2001 | 2 | as is where is | immediately | |
114211 | TEL Tokyo Electron | Trias Ti/TiN | Metal CVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | |||
111908 | TEL TOKYO ELECTRON | CLEAN TRACK ACT 8 SINGLE BLOCK | Single Block (Resist Coater/Developer) | 200mm | 1 | as is where is | |||
112420 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2003 | 1 | as is where is | ||
113188 | TEL Tokyo Electron | ACT 8 | Single block DUV photo track with I/F for Nikon S204, L to R flow | 200 mm | 01.06.2001 | 1 | as is where is | immediately | |
114212 | TEL Tokyo Electron | Triase+ EX-II Plus Ti/TiN | Metal CVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | |||
109093 | TEL Tokyo Electron | Expedius | Acid Wet bench | 300 mm | 01.10.2006 | 1 | as is where is | immediately | |
111909 | TEL TOKYO ELECTRON | CLEAN TRACK ACT 12 | Multi Block (Resist Coater/Developer) | 300mm | 1 | as is where is | |||
112421 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
114213 | TEL Tokyo Electron | Triase+ EX-II Ti/TiN | Metal CVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | |||
111910 | TEL TOKYO ELECTRON | CLEAN TRACK ACT 12 | Multi Block (Resist Coater/Developer) | 300mm | 1 | as is where is | |||
112422 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2003 | 1 | as is where is | ||
114214 | TEL Tokyo Electron | Triase+ EX-II Ti/TiN | Metal CVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | |||
111911 | TEL TOKYO ELECTRON | CLEAN TRACK ACT 12 | Single Block (Resist Coater/Developer) | 300mm | 1 | as is where is | |||
112423 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2004 | 1 | as is where is | ||
112424 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2004 | 1 | as is where is | ||
111913 | TEL TOKYO ELECTRON | CLEAN TRACK ACT 12 | Single Block (Resist Developer) | 300mm | 1 | as is where is | |||
112425 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
111914 | TEL TOKYO ELECTRON | CLEAN TRACK ACT 12 | Single Block (Resist Developer) | 300mm | 1 | as is where is | |||
112426 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
111915 | TEL TOKYO ELECTRON | CLEAN TRACK ACT 12 | Single Block (Resist Developer) | 300mm | 1 | as is where is | |||
112427 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
111916 | TEL TOKYO ELECTRON | CLEAN TRACK ACT 12 | Single Block (Resist Developer) | 300mm | 1 | as is where is | |||
112428 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
112429 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2004 | 1 | as is where is | ||
110638 | TEL Tokyo Electron | Mark8 | Lithography Coater and Developer with 2c, 2d | 150 mm | 1 | as is where is | |||
112430 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2004 | 1 | as is where is | ||
110639 | TEL Tokyo Electron | P-12XL | Prober | 300 mm | 1 | as is where is | |||
111919 | TEL TOKYO ELECTRON | CLEAN TRACK LITHIUS MULTI BLOCK | Multi Block (Resist Coater/Developer) | 300mm | 1 | as is where is | |||
112431 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
110640 | TEL Tokyo Electron | P-12XL | prober | 300 mm | 1 | as is where is | |||
111920 | TEL TOKYO ELECTRON | CLEAN TRACK LITHIUS MULTI BLOCK | Multi Block (Resist Coater/Developer) | 300mm | 1 | as is where is | |||
112432 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
110641 | TEL Tokyo Electron | Telius | Dry Etcher | 300 mm | 1 | as is where is | |||
111921 | TEL TOKYO ELECTRON | CLEAN TRACK LITHIUS MULTI BLOCK | Multi Block (Resist Coater/Developer) | 300mm | 1 | as is where is | |||
112433 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2003 | 1 | as is where is | ||
106290 | TEL TOKYO ELECTRON | P-8XL | Prober | 200 mm | 1 | as is where is | immediately | ||
110642 | TEL Tokyo Electron | Telius SP-Vesta | Dry Etcher | 300 mm | 1 | as is where is | |||
111922 | TEL TOKYO ELECTRON | CLEAN TRACK LITHIUS MULTI BLOCK | Multi Block (Resist Coater/Developer) | 300mm | 1 | as is where is | |||
112434 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2003 | 1 | as is where is | ||
106291 | TEL TOKYO ELECTRON | P-8 | Prober | 200 mm | 1 | as is where is | immediately | ||
110643 | TEL Tokyo Electron | Trias Ti/TiN | TiN CVD | 300 mm | 1 | as is where is | |||
112435 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2005 | 1 | as is where is | ||
106292 | TEL TOKYO ELECTRON | P-12XLm | Prober | 300 mm | 4 | as is where is | immediately | ||
110644 | TEL Tokyo Electron | Trias Ti/TiN | TiN CVD | 300 mm | 1 | as is where is | |||
112436 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
106293 | TEL TOKYO ELECTRON | P-12XLm | Prober | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
110645 | TEL Tokyo Electron | UNITY2e-855DD | Dry Etcher | 200 mm | 1 | as is where is | |||
112437 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2004 | 1 | as is where is | ||
110646 | TEL Tokyo Electron | Unity2e-855II | Dry Etcher | 200 mm | 1 | as is where is | |||
111926 | TEL TOKYO ELECTRON | CLEAN TRACK LITHIUS MULTI BLOCK | Multi Block (Resist Coater/Developer) | 300mm | 1 | as is where is | |||
112438 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2003 | 1 | as is where is | ||
106295 | TEL TOKYO ELECTRON | ALPHA-303i | VERTICAL DIFFUSION FURNACE, TEOS PROCESS | 300 mm | 01.06.2007 | 1 | as is where is | immediately | |
108599 | TEL TOKYO ELECTRON | P12XLM | Prober | 300 mm | 01.05.2006 | 1 | inquire | immediately | |
110647 | TEL Tokyo Electron | Unity2e-855II | Dry Etcher | 200 mm | 1 | as is where is | |||
111927 | TEL TOKYO ELECTRON | CLEAN TRACK LITHIUS Pro | Multi Block (Resist Coater/Developer) | 300mm | 1 | as is where is | |||
112439 | TEL Tokyo Electron | P-12XLm | Fully Automatic Prober | 300 mm | 01.06.2005 | 1 | as is where is | ||
108600 | TEL Tokyo Electron | A303I | Vertical furnace | 300 mm | 01.06.2004 | 1 | as is where is | immediately | |
110648 | TEL Tokyo Electron | Unity2e-855II | Dry Etcher | 200 mm | 1 | as is where is | |||
111928 | TEL TOKYO ELECTRON | CLEAN TRACK LITHIUS Pro | Multi Block (Resist Coater/Developer) | 300mm | 1 | as is where is | |||
112440 | TEL Tokyo Electron | P-12XLm | Fully Automatic Prober | 300 mm | 01.06.2005 | 1 | as is where is | ||
108601 | TEL Tokyo Electron | A303I | Vertical furnace | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
110649 | TEL Tokyo Electron | Unity2e-855II IEM | Dry Etcher | 200 mm | 1 | as is where is | |||
112441 | TEL Tokyo Electron | P-12XLn | Fully Automatic Prober | 300 mm | 01.06.2008 | 1 | as is where is | ||
108602 | TEL Tokyo Electron | A303I | Vertical furnace | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
110650 | TEL Tokyo Electron | Unity2e-855PP DP | Dry Etcher | 200 mm | 1 | as is where is | |||
112442 | TEL Tokyo Electron | P-12XLn | Fully Automatic Prober | 300 mm | 01.06.2004 | 1 | as is where is | ||
106299 | TEL TOKYO ELECTRON | Alpha-303I | VERTICAL DIFFUSION FURNACE, LTO POLY PROCESS | 300 mm | 01.06.2004 | 1 | as is where is | immediately | |
108603 | TEL Tokyo Electron | P12XLM | Prober | 300 mm | 01.05.2006 | 1 | as is where is | immediately | |
110651 | TEL Tokyo Electron | Unity2e-855SS | Dry Etcher | 200 mm | 1 | as is where is | |||
112443 | TEL Tokyo Electron | P-12XLn | Fully Automatic Prober | 300 mm | 01.06.2005 | 1 | as is where is | ||
108604 | TEL TOKYO ELECTRON | Precio Nano | FULLY AUTOMATED PROBER | 300 mm | 01.06.2012 | 1 | as is where is | immediately | |
110652 | TEL Tokyo Electron | Unity2e-85DPA | Dry Etcher | 200 mm | 1 | as is where is | |||
112444 | TEL Tokyo Electron | P-12XLn | Fully Automatic Prober | 300 mm | 01.06.2005 | 1 | as is where is | ||
108605 | TEL Tokyo Electron | ACT 8 | Photoresist coater and developer track with 3C and 3D | 200 mm | 01.09.2001 | 1 | as is where is | immediately | |
110653 | TEL Tokyo Electron | Unity2E-85IEM | Dry Etcher | 200 mm | 1 | as is where is | |||
112445 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
108606 | TEL Tokyo Electron | I/F Block (Mark8 - i11D) | Track interface block for Nikon i11D | 200 mm | 01.05.1997 | 1 | as is where is | ||
109118 | TEL TOKYO ELECTRON | Trias | CVD | 300 mm | 01.06.2010 | 14 | as is where is | immediately | |
110654 | TEL Tokyo Electron | Unity2e-85TPATC | Dry Etcher | 200 mm | 1 | as is where is | |||
112446 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
110655 | TEL Tokyo Electron | UW300Z | Wet | 300 mm | 1 | as is where is | |||
111935 | TEL TOKYO ELECTRON | NS 300 | Wafer Scrubber | 300mm | 12 | as is where is | |||
112447 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2008 | 1 | as is where is | ||
110656 | TEL Tokyo Electron | UW8000 | Wet | 200 mm | 1 | as is where is | |||
112448 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2008 | 1 | as is where is | ||
106305 | TEL TOKYO ELECTRON | FORMULA | Diffusion Furnace Aluminium Oxide Process | 300 mm | 01.06.2003 | 1 | as is where is | immediately | |
110657 | TEL Tokyo Electron | UW8000 | Wet | 200 mm | 1 | as is where is | |||
112449 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
106306 | TEL TOKYO ELECTRON | FORMULA | Aluminium Oxide Process Diffusion furnace | 300 mm | 01.03.2003 | 1 | as is where is | immediately | |
111938 | TEL TOKYO ELECTRON | NS 300Z | Wafer Scrubber | 300mm | 1 | as is where is | |||
112450 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
111939 | TEL TOKYO ELECTRON | NT333 | ALD (Atomic Layer Deposition) | 300mm | 1 | as is where is | |||
112451 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
112452 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
111941 | TEL TOKYO ELECTRON | NT333 | ALD (Atomic Layer Deposition) | 300mm | 1 | as is where is | |||
112453 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
108614 | TEL Tokyo Electron | P8XL | Fully Automated Prober | 200 mm | 01.06.2001 | 1 | as is where is | immediately | |
111942 | TEL TOKYO ELECTRON | NT333 | ALD (Atomic Layer Deposition) | 300mm | 1 | as is where is | |||
112454 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
111943 | TEL TOKYO ELECTRON | NT333 | ALD (Atomic Layer Deposition) | 300mm | 1 | as is where is | |||
112455 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
111944 | TEL TOKYO ELECTRON | NT333 | ALD (Atomic Layer Deposition) | 300mm | 1 | as is where is | |||
112456 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
112457 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
108106 | TEL Tokyo Electron | Alpha-303i-H | Vertical Furnace, MTO | 300 mm | 1 | as is where is | |||
110666 | TEL Tokyo Electron | Interface module | For Mark7 photoresist coater and developer | spares | 01.07.1997 | 1 | as is where is | immediately | |
111946 | TEL TOKYO ELECTRON | NT333 | ALD (Atomic Layer Deposition) | 300mm | 1 | as is where is | |||
112458 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
108107 | TEL Tokyo Electron | Alpha-303i-K | Vertical Furnace, DCS MTO | 300 mm | 1 | as is where is | |||
111947 | TEL TOKYO ELECTRON | NT333 | ALD (Atomic Layer Deposition) | 300mm | 1 | as is where is | |||
112459 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
108108 | TEL Tokyo Electron | Alpha-303i-K | Vertical Furnace, MTO | 300 mm | 1 | as is where is | |||
111948 | TEL TOKYO ELECTRON | NT333 | ALD (Atomic Layer Deposition) | 300mm | 1 | as is where is | |||
112460 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
108109 | TEL Tokyo Electron | Formula | Vertical Furnace | 300 mm | 1 | as is where is | |||
112461 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2005 | 1 | as is where is | ||
108110 | TEL Tokyo Electron | Formula | Vertical Furnace | 300 mm | 1 | as is where is | |||
112462 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
108111 | TEL Tokyo Electron | Indy-A-L | Vertical Furnace, LPRO | 300 mm | 1 | as is where is | |||
111951 | TEL TOKYO ELECTRON | Tactras Vigus RK3 | Dielectric Etch | 300 mm | 01.03.2015 | 1 | as is where is | immediately | |
112463 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
108112 | TEL Tokyo Electron | Indy-B-L | Vertical Furnace | 300 mm | 1 | as is where is | |||
111952 | TEL TOKYO ELECTRON | Tactras Vigus RK3 | Dielectric Etch | 300mm | 1 | as is where is | |||
112464 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
108113 | TEL Tokyo Electron | Indy-B-L | Vertical Furnace, D-poly | 300 mm | 1 | as is where is | |||
112465 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
108114 | TEL Tokyo Electron | Indy-B-L | Vertical Furnace, D-poly | 300 mm | 1 | as is where is | |||
112466 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
108115 | TEL Tokyo Electron | Indy-B-L | Vertical Furnace, DCS Nitride | 300 mm | 1 | as is where is | |||
112467 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
108116 | TEL Tokyo Electron | Indy-I-L | Vertical Furnace | 300 mm | 1 | as is where is | |||
112468 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2008 | 1 | as is where is | ||
108117 | TEL Tokyo Electron | Indy-I-L | Vertical Furnace, LT ALD SIN | 300 mm | 1 | as is where is | |||
112469 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2008 | 1 | as is where is | ||
108118 | TEL Tokyo Electron | Interface module | For Mark8 photoresist coater and developer | spares | 01.12.1995 | 1 | as is where is | immediately | |
112470 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2008 | 1 | as is where is | ||
108119 | TEL Tokyo Electron | LU-8209 | Auto refill system for TEL ALPHA-8S TEOS | spares | 1 | as is where is | |||
112471 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2008 | 1 | as is where is | ||
108120 | TEL Tokyo Electron | TE8500 | Dry Etch | 200 mm | 1 | as is where is | |||
112472 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2008 | 1 | as is where is | ||
112473 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
112474 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
113242 | TEL Tokyo Electron | ALPHA-303i Anneal | Vertical Furnace Anneal | 300 mm | 1 | as is where is | |||
111963 | TEL TOKYO ELECTRON | TELINDY ALDOX | Vertical Furnace | 300mm | 1 | as is where is | |||
112475 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
113243 | TEL Tokyo Electron | ALPHA-303i Anneal | Vertical Furnace Anneal | 300 mm | 1 | as is where is | |||
111964 | TEL TOKYO ELECTRON | TELINDY IRAD ALD Oxide | Vertical Furnace | 300mm | 1 | as is where is | |||
112476 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2008 | 1 | as is where is | ||
113244 | TEL Tokyo Electron | ALPHA-303i Anneal | Vertical Furnace Anneal | 300 mm | 1 | as is where is | |||
111965 | TEL TOKYO ELECTRON | TELINDY Plus IRAD Oxide | Vertical Furnace | 300mm | 1 | as is where is | |||
112477 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
113245 | TEL Tokyo Electron | ALPHA-303i Anneal | Vertical Furnace Anneal | 300 mm | 1 | as is where is | |||
108126 | TEL Tokyo Electron | VMU-40-007 | Heater for Alpha 8SE furnace | spares | 1 | as is where is | |||
112478 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
112479 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
112480 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
112481 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
112482 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
112483 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
112484 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
113252 | TEL Tokyo Electron | CLEAN TRACK LITHIUS SINGLE BLOCK | Photoresist coater and developer track | 300 mm | 1 | as is where is | |||
110693 | TEL TOKYO ELECTRON | Mark 8 | Polyimide Cure Track | 200 mm | 01.06.2009 | 1 | as is where is | immediately | |
112485 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
113253 | TEL Tokyo Electron | NT333 | Wet etcher | 300 mm | 1 | as is where is | |||
112486 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
113254 | TEL Tokyo Electron | NT333 | Wet etcher | 300 mm | 1 | as is where is | |||
111975 | TEL TOKYO ELECTRON | Telius SP 305 DRM | Dielectric Etch | 300mm | 1 | as is where is | |||
112487 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
113255 | TEL Tokyo Electron | NT333 | Wet etcher | 300 mm | 1 | as is where is | |||
103528 | TEL Tokyo Electron | EXPEDIUS | DUMMY CLN | 300 mm | 1 | as is where is | |||
111976 | TEL TOKYO ELECTRON | Telius SP 305 DRM | Dielectric Etch | 300mm | 1 | as is where is | |||
112488 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
113256 | TEL Tokyo Electron | NT333 | Wet etcher | 300 mm | 1 | as is where is | |||
112489 | TEL Tokyo Electron | P-12XLn+ | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
113257 | TEL Tokyo Electron | NT333 | Wet etcher | 300 mm | 1 | as is where is | |||
110698 | TEL TOKYO ELECTRON | Mark 5 | Photoresist Track | 150 mm | 01.06.1991 | 1 | as is where is | immediately | |
112490 | TEL Tokyo Electron | Precio | Fully Automatic Prober | 300 mm | 01.06.2007 | 1 | as is where is | ||
113258 | TEL Tokyo Electron | NT333 | Wet etcher | 300 mm | 1 | as is where is | |||
112491 | TEL Tokyo Electron | Precio nano | Fully Automatic Prober | 300 mm | 01.06.2010 | 1 | as is where is | ||
111980 | TEL TOKYO ELECTRON | Trias EX-II Ti/TiN | Metal CVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | |||
112492 | TEL Tokyo Electron | Tactras RLSA | Etch Oxide, Nitride, Polysilicon | 300 mm | 01.06.2010 | 1 | as is where is | ||
91245 | TEL TOKYO ELECTRON | TRIAS CHAMBER ONLY | LT TIN (N2/NH3/N2/CIF3) | 300 mm | 1 | as is where is | |||
112493 | TEL Tokyo Electron | Tactras Vesta | Etch Polysilicon | 300 mm | 01.06.2014 | 1 | as is where is | ||
112494 | TEL Tokyo Electron | Tactras Vigus MK | Oxide Etcher, fitted with 4 process chambers | 300 mm | 01.06.2012 | 1 | as is where is | immediately | |
114030 | TEL TOKYO ELECTRON | ALPHA 303I | Vertical furnace, Nitride CVD process | 300 MM | 01.06.2005 | 1 | as is where is | ||
112495 | TEL Tokyo Electron | Tactras Vigus RK2 | Oxide Etcher, fitted with 4 process chambers | 300 mm | 01.06.2010 | 1 | as is where is | immediately | |
93040 | TEL TOKYO ELECTRON | VIGUS | MASK ETCH | 300 mm | 01.05.2009 | 1 | as is where is | immediately | |
111984 | TEL TOKYO ELECTRON | Triase+ EX-II Ti/TiN | Metal CVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | |||
112496 | TEL Tokyo Electron | TE8500 | Etch Oxide | 200 mm | 01.06.1996 | 1 | as is where is | ||
113264 | TEL Tokyo Electron | NT333 | Wet Etcher | 300 mm | 1 | as is where is | |||
93041 | TEL TOKYO ELECTRON | VIGUS | MASK ETCH | 300 mm | 01.05.2010 | 1 | as is where is | immediately | |
111985 | TEL TOKYO ELECTRON | Triase+ SPA | Metal CVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | |||
112497 | TEL Tokyo Electron | Telius | Etch Poly-T4 ATCC | 300 mm | 01.06.2011 | 1 | as is where is | ||
93042 | TEL TOKYO ELECTRON | VIGUS | Mask ETCH | 300 mm | 01.05.2010 | 1 | as is where is | immediately | |
111986 | TEL TOKYO ELECTRON | Triase+ SPA | Metal CVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | |||
112498 | TEL Tokyo Electron | Telius 305 SCCM SE | Etch Oxide | 300 mm | 01.06.2000 | 1 | as is where is | ||
111987 | TEL TOKYO ELECTRON | Triase+ Ti/TiN | Metal CVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | |||
112499 | TEL Tokyo Electron | Telius SCCM Jin | Etch Oxide | 300 mm | 01.06.2008 | 1 | as is where is | ||
111988 | TEL TOKYO ELECTRON | Triase+ Ti/TiN | Metal CVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | |||
112500 | TEL Tokyo Electron | Trias | CVD ALD TiN | 300 mm | 01.06.2013 | 1 | as is where is | ||
112501 | TEL Tokyo Electron | Trias | CVD Copper Barrier Seed | 300 mm | 01.06.2012 | 1 | as is where is | ||
113269 | TEL Tokyo Electron | TELINDY Anneal | Vertical Furnace Anneal | 300 mm | 1 | as is where is | |||
112502 | TEL Tokyo Electron | Trias | CVD CVD TiN | 300 mm | 01.06.2006 | 1 | as is where is | ||
113270 | TEL Tokyo Electron | TELINDY Plus ALD HighK | Vertical Furnace ALD | 300 mm | 1 | as is where is | |||
112503 | TEL Tokyo Electron | Trias | CVD CVD TiN | 300 mm | 01.06.2004 | 1 | as is where is | ||
83832 | TEL Tokyo Electron | 028-016314-1 | FITTING TUBE...1016-0 8 | SPARES | 1 | as is where is | immediately | ||
112504 | TEL Tokyo Electron | Trias | CVD UV Cure | 300 mm | 01.06.2011 | 1 | as is where is | ||
112505 | TEL Tokyo Electron | Trias Chamber | CVD CVD Ti | 300 mm | 1 | as is where is | |||
91258 | TEL TOKYO ELECTRON | ALPHA-303i | K type | 300 mm | 1 | as is where is | |||
112506 | TEL Tokyo Electron | Trias Chamber | CVD CVD Ti | 300 mm | 1 | as is where is | |||
113274 | TEL Tokyo Electron | Trias EX-II Plus HT Ti/TiN | Ti / TiN CVD | 300 mm | 1 | as is where is | |||
91259 | TEL TOKYO ELECTRON | Telformula(ver.0) | optimal thermal processing | 300 mm | 1 | as is where is | |||
112507 | TEL Tokyo Electron | Trias Chamber | CVD CVD Ti | 300 mm | 01.06.2016 | 1 | as is where is | ||
112508 | TEL Tokyo Electron | Trias Chamber | CVD CVD Ti | 300 mm | 01.06.2016 | 1 | as is where is | ||
112509 | TEL Tokyo Electron | Trias Chamber | CVD CVD Ti | 300 mm | 01.06.2016 | 1 | as is where is | ||
112510 | TEL Tokyo Electron | Trias SPA | CVD CVD | 300 mm | 01.06.2010 | 1 | as is where is | ||
112511 | TEL Tokyo Electron | Trias SPA | CVD CVD | 300 mm | 01.06.2010 | 1 | as is where is | ||
112512 | TEL Tokyo Electron | TSP 305 DRM | Etch Oxide | 300 mm | 01.06.2006 | 1 | as is where is | ||
112513 | TEL Tokyo Electron | TSP 305 SCCM SE+ | Etch Oxide | 300 mm | 01.06.2006 | 1 | as is where is | ||
112514 | TEL Tokyo Electron | TSP 305 SCCM SE+ | Etch Oxide | 300 mm | 01.06.2007 | 1 | as is where is | ||
112515 | TEL Tokyo Electron | Unity Me 85QD | Etch Oxide | 200 mm | 01.06.2004 | 1 | as is where is | ||
112516 | TEL Tokyo Electron | Unity2 84DI | Etch Polysilicon | 200 mm | 01.06.1999 | 1 | as is where is | ||
2181 | TEL TOKYO ELECTRON | TE 5480 | Nitride Plasma Reactive Ion Etch | 150 mm | 01.11.1992 | 1 | as is where is | immediately | |
103557 | TEL Tokyo Electron | ALPHA 303I | K type / Nitride | 300 mm | 1 | as is where is | |||
112517 | TEL Tokyo Electron | Unity2 85DD | Etch DRY ETCHER | 200 mm | 1 | as is where is | |||
112518 | TEL Tokyo Electron | WDF 12DP | Ring Frame Prober | 300 mm | 01.06.2014 | 1 | as is where is | ||
93064 | TEL TOKYO ELECTRON | ALPHA-303i | Vertical Furnace K type / MTO | 300 MM | 31.05.2007 | 1 | as is where is | ||
108936 | TEL TOKYO ELECTRON | Lithius | Photoresist Coater and Developer Track | 300 mm | 01.04.2004 | 1 | as is where is | ||
108937 | TEL TOKYO ELECTRON | Lithius | Photoresist Coater and Developer Track | 300 mm | 01.06.2007 | 1 | as is where is | ||
103565 | TEL Tokyo Electron | ALPHA-303i | K type / Poly | 300 mm | 1 | as is where is | |||
21135 | TEL TOKYO ELECTRON | UPGRADE FOR SCCM OXIDE TOOL | KIT FOR UPGRADE FOR SCCM OXIDE TOOL | SPARES | 1 | as is where is | immediately | ||
103572 | TEL Tokyo Electron | FORMULA | DCS SiN | 300 mm | 1 | as is where is | |||
108695 | TEL Tokyo Electron | TRIAS | High K Metal CVD and ALD system, NiOx, HfOx process | 300 MM | 01.06.2012 | 1 | inquire | ||
113303 | TEL TOKYO ELECTRON | VIGUS NEST | DRY ETCH | 300 mm | 01.06.2007 | 1 | as is where is | immediately | |
113304 | TEL Tokyo Electron | VIGUS PX | Dry Etcher | 300 mm | 01.06.2007 | 1 | as is where is | immediately | |
103582 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 31.05.2006 | 1 | as is where is | ||
110752 | TEL Tokyo Electron | ACT 12 | Double Block DUV Photoresist Coater and Developer Track | 300 mm | 01.01.2009 | 1 | as is where is | immediately | |
108707 | TEL Tokyo Electron | Tactras RLSA (Chamber) | Polysilicon Dry Etch Chamber | 300 MM | 01.06.2012 | 1 | inquire | ||
108966 | TEL Tokyo Electron | ACT 12 | DOUBLE BLOCK TRACK with 3 PCT, 1 COT, 4 DEV, R to L | 200 mm | 01.06.2018 | 1 | inquire | immediately | |
111529 | TEL Tokyo Electron | A303I | DIFFUSION | 300 mm | 01.06.2004 | 1 | as is where is | ||
111530 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2005 | 5 | as is where is | ||
111531 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2002 | 1 | as is where is | ||
111532 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2004 | 1 | as is where is | ||
113324 | TEL Tokyo Electron | Tactras Vigus (Chamber only) | Oxide etcher (Chamber Only) | 300 mm | 01.06.2010 | 1 | as is where is | immediately | |
103597 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | |||
111533 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
111534 | TEL Tokyo Electron | P-12XL | Fully Automatic Prober | 300 mm | 01.06.2001 | 1 | as is where is | ||
103599 | TEL Tokyo Electron | FORMULA | Vertical Diffusion Furnace | 300 mm | 1 | as is where is | |||
111535 | TEL Tokyo Electron | P-12XLM | Fully Automatic Prober | 300 mm | 01.06.2006 | 1 | as is where is | ||
106416 | TEL TOKYO ELECTRON | INDY-PLUS-B-M | VERTICAL CVD FURNACE | 300 mm | 01.07.2010 | 1 | as is where is | immediately | |
111536 | TEL Tokyo Electron | P-12XLM | Fully Automatic Prober | 300 mm | 01.06.2005 | 1 | as is where is | ||
112304 | TEL Tokyo Electron | ACT12 Dual | Photoresist coater and developer track | 300 mm | 01.06.2004 | 1 | as is where is | ||
112305 | TEL Tokyo Electron | ACT12 Dual | Photoresist coater and developer track | 300 mm | 01.06.2006 | 1 | as is where is | ||
108210 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer (5C/5D) | 300 mm | 01.06.2006 | 1 | as is where is | immediately | |
112306 | TEL Tokyo Electron | ACT12 Dual | Photoresist coater and developer track | 300 mm | 01.06.2009 | 1 | as is where is | ||
108211 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer (5C/5D) | 300 mm | 01.06.2007 | 1 | as is where is | ||
112307 | TEL Tokyo Electron | ACT12 Dual | Photoresist coater and developer track | 300 mm | 01.06.2011 | 1 | as is where is | ||
114355 | TEL Tokyo Electron | a-8S-D | Vertical furnace, LPCVD | 200 mm | 01.08.1997 | 1 | as is where is | ||
112308 | TEL Tokyo Electron | ACT12 Single | Photoresist coater and developer track | 300 mm | 01.06.2007 | 1 | as is where is | ||
114356 | TEL Tokyo Electron | a-8S-ZA | Vertical Furnace, Phosphor Doping Process | 200 mm | 01.05.1997 | 1 | as is where is | ||
112309 | TEL Tokyo Electron | ACT12 Single | Photoresist coater and developer track | 300 mm | 01.06.2006 | 1 | as is where is | ||
114357 | TEL Tokyo Electron | ACT8 | Photoresist coater and developer 2D single block | 200 mm | 01.06.1999 | 1 | as is where is | ||
112310 | TEL Tokyo Electron | ACT12 Single | Photoresist coater and developer track | 300 mm | 01.06.2004 | 1 | as is where is | ||
114358 | TEL Tokyo Electron | ACT8 | Photoresist coater and developer 3D single block | 200 mm | 01.06.2007 | 1 | as is where is | ||
112311 | TEL Tokyo Electron | ACT12 Single | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
114359 | TEL Tokyo Electron | ACT8 | Photoresist coater and developer, with 3C and 2 D, WEE | 200 mm | 01.10.2000 | 1 | as is where is | ||
112312 | TEL Tokyo Electron | ACT8 Dual | Photoresist coater and developer track | 200 mm | 01.06.2002 | 1 | as is where is | ||
114360 | TEL Tokyo Electron | ACT8 | Photoresist coater and developer, with 4C and 4D, WEE | 200 mm | 01.09.2003 | 1 | as is where is | ||
112313 | TEL Tokyo Electron | ACT8 Dual | Photoresist coater and developer track | 200 mm | 01.06.2000 | 1 | as is where is | ||
114361 | TEL Tokyo Electron | ACT12 | Photoresist coater and developer,5C 3D | 300 mm | 01.06.2003 | 1 | as is where is | ||
112314 | TEL Tokyo Electron | ACT8 Dual | Photoresist coater and developer track | 200 mm | 01.06.1999 | 1 | as is where is | ||
114362 | TEL Tokyo Electron | ACT12 | Photoresist coater and developer, 4C 4D | 300 mm | 01.06.2011 | 1 | as is where is | ||
103611 | TEL Tokyo Electron | INDY | ALD High-K | 300 mm | 1 | as is where is | |||
112315 | TEL Tokyo Electron | ACT8 Dual | Photoresist coater and developer track | 200 mm | 01.06.2001 | 1 | as is where is | ||
114363 | TEL Tokyo Electron | Mark-VIII | Photoresist coater and developer 1C 2D dual block | 200 mm | 01.06.1998 | 1 | as is where is | ||
103612 | TEL Tokyo Electron | INDY | ALD High-K | 300 mm | 31.05.2011 | 1 | as is where is | ||
109244 | TEL TOKYO ELECTRON | CLEAN TRACK ACT 12 | Multi Block (Resist Coater/Developer) | 300mm | 1 | as is where is | |||
112316 | TEL Tokyo Electron | ACT8 Dual | Photoresist coater and developer track | 200 mm | 01.06.1998 | 1 | as is where is | ||
114364 | TEL Tokyo Electron | Mark-VIII | Photoresist coater and developer 2C Single Block | 200 mm | 01.06.1998 | 1 | as is where is | ||
103613 | TEL Tokyo Electron | INDY | Doped Poly | 300 mm | 1 | as is where is | |||
109245 | TEL TOKYO ELECTRON | CLEAN TRACK ACT 12 | Single Block (Resist Coater/Developer) | 300mm | 1 | as is where is | |||
112317 | TEL Tokyo Electron | ACT8 Single | Photoresist coater and developer track | 200 mm | 01.06.2004 | 1 | as is where is | ||
114365 | TEL Tokyo Electron | Mark-VIII | Photoresist coater and developer 2C Single Block | 200 mm | 01.06.1998 | 1 | as is where is | ||
103614 | TEL Tokyo Electron | INDY PLUS | ALD High-K | 300 mm | 31.05.2014 | 1 | as is where is | ||
112318 | TEL Tokyo Electron | ACT8 Single | Photoresist coater and developer track | 200 mm | 01.06.2014 | 1 | as is where is | ||
114366 | TEL Tokyo Electron | Mark-VIII | Photoresist coater and developer 2C Single Block | 200 mm | 01.06.1993 | 1 | as is where is | ||
103615 | TEL Tokyo Electron | INDY PLUS | ALD High-K | 300 mm | 1 | as is where is | |||
112319 | TEL Tokyo Electron | ACT8 Single | Photoresist coater and developer track | 200 mm | 01.06.2001 | 1 | as is where is | ||
114367 | TEL Tokyo Electron | Mark-VIII | Photoresist coater and developer 2D 1C, Single Block | 200 mm | 01.06.1998 | 1 | as is where is | ||
103616 | TEL Tokyo Electron | INDY PLUS | ALD High-K | 300 mm | 1 | as is where is | |||
112320 | TEL Tokyo Electron | ACT8 Single | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
114368 | TEL Tokyo Electron | Mark-VIII | Photoresist coater and developer 2D dual block | 200 mm | 01.06.1998 | 1 | as is where is | ||
103617 | TEL Tokyo Electron | INDY PLUS | ALD High-K | 300 mm | 1 | as is where is | |||
112321 | TEL Tokyo Electron | ACT8 Single | Photoresist coater and developer track | 200 mm | 01.06.2018 | 1 | as is where is | ||
114369 | TEL Tokyo Electron | Mark-VIII | Photoresist coater and developer 2D Dual Block | 200 mm | 01.06.1998 | 1 | as is where is | ||
112322 | TEL Tokyo Electron | Alpha-303i-K | Furnace DCS MTO | 300 mm | 01.06.2006 | 1 | as is where is | ||
114370 | TEL Tokyo Electron | Mark-VIII | Photoresist coater and developer 2D single block | 200 mm | 01.06.2000 | 1 | as is where is | ||
112323 | TEL Tokyo Electron | Alpha-303i-K | Furnace DCS MTO | 300 mm | 01.06.2006 | 1 | as is where is | ||
114371 | TEL Tokyo Electron | Mark-VIII | Photoresist coater and developer 2D single block | 200 mm | 01.06.1998 | 1 | as is where is | ||
112324 | TEL Tokyo Electron | Alpha-303i-K | Furnace MTO | 300 mm | 01.06.2010 | 1 | as is where is | ||
114372 | TEL Tokyo Electron | Mark-VIII | Photoresist coater and developer 3C 1D, Dual Block | 200 mm | 01.06.1996 | 1 | as is where is | ||
112325 | TEL Tokyo Electron | Alpha-303i-K | Furnace MTO | 300 mm | 01.06.2004 | 1 | as is where is | ||
114373 | TEL Tokyo Electron | P-8 | Wafer Prober | 200 mm | 01.08.1997 | 1 | as is where is | ||
103622 | TEL Tokyo Electron | LITHIUS | SINGLE BLOCK 2C/3D system (for NIKON S308) | 300 mm | 31.05.2006 | 1 | as is where is | ||
112326 | TEL Tokyo Electron | Alpha-303i-K | Furnace Poly | 300 mm | 01.06.2005 | 1 | as is where is | ||
114374 | TEL Tokyo Electron | P-8 | Wafer Prober | 200 mm | 01.07.1995 | 1 | as is where is | ||
103623 | TEL Tokyo Electron | LITHIUS | SINGLE BLOCK (for NIKON S205) | 300 mm | 31.05.2006 | 1 | as is where is | ||
112327 | TEL Tokyo Electron | Alpha-303i-K | Furnace TEOS | 300 mm | 01.06.2006 | 1 | as is where is | ||
114375 | TEL Tokyo Electron | P-8 | Wafer Prober | 200 mm | 01.07.1995 | 1 | as is where is | ||
112328 | TEL Tokyo Electron | Alpha-808SCN | Furnace Bonding Anneal | 200 mm | 1 | as is where is | |||
114376 | TEL Tokyo Electron | P-8XL | Automatic Wafer Prober | 200 mm | 01.04.2000 | 1 | as is where is | ||
112329 | TEL Tokyo Electron | Alpha-8S-Z | Furnace Plasma Processing | 200 mm | 01.06.1997 | 1 | as is where is | ||
18890 | TEL TOKYO ELECTRON | 201345 | Operations manual | 1 | as is where is | ||||
103626 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer | 300 mm | 1 | as is where is | |||
112330 | TEL Tokyo Electron | Alpha-8S-ZCN | Furnace POLY | 200 mm | 1 | as is where is | |||
18891 | TEL TOKYO ELECTRON | 201336 | Operations manual | 1 | as is where is | ||||
112331 | TEL Tokyo Electron | Cellesta+ | WET | 300 mm | 01.06.2008 | 1 | as is where is | ||
18892 | TEL TOKYO ELECTRON | 201341 | Operations manual | 1 | as is where is | ||||
112332 | TEL Tokyo Electron | Certas Wing | Etch ETCH | 300 mm | 01.06.2008 | 1 | as is where is | ||
18893 | TEL TOKYO ELECTRON | 201342 | Operations manual | 1 | as is where is | ||||
91341 | TEL TOKYO ELECTRON | TELIUS SCCM T-3044SS | DRY ETCHER | 300 mm | 31.05.2007 | 1 | as is where is | ||
112333 | TEL Tokyo Electron | EFEM | Etch - | 300 mm | 1 | as is where is | |||
18894 | TEL TOKYO ELECTRON | 201339 | Operations manual | 1 | as is where is | ||||
112334 | TEL Tokyo Electron | Formula | Furnace Vertical Furnace | 300 mm | 1 | as is where is | |||
112590 | TEL TOKYO ELECTRON | ACT 8 | Single Block Photoresist Coater and Developer with 1C, 3D, R to L, ASML, i-line | 200 mm SMIF | 01.06.2001 | 1 | as is where is | immediately | |
18895 | TEL TOKYO ELECTRON | 201335 | Operations manual | 1 | as is where is | ||||
103631 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer | 300 mm | 31.05.2005 | 1 | as is where is | ||
112335 | TEL Tokyo Electron | Indy Irad | Furnace MLD Nitride Furnace | 300 mm | 01.06.2008 | 1 | as is where is | ||
112591 | TEL TOKYO ELECTRON | ACT 8 | Single Block Photoresist Coater and Developer with 2C, 2D, R to L, ASML, i-line | 200 mm SMIF | 01.06.2000 | 1 | as is where is | immediately | |
18896 | TEL TOKYO ELECTRON | 201342 | Operations manual | 1 | as is where is | ||||
103632 | TEL Tokyo Electron | LITHIUS | High Reliability and Productivity Coater Developer | 300 mm | 31.05.2007 | 1 | as is where is | ||
112336 | TEL Tokyo Electron | Indy Irad | Furnace Vertical LPCVD Furnaces | 300 mm | 01.06.2010 | 1 | as is where is | ||
112592 | TEL TOKYO ELECTRON | ACT 8 | Single Block Photoresist Coater and Developer with 2C, 2D, R to L, ASML, i-line | 200 mm SMIF | 01.06.2001 | 1 | as is where is | immediately | |
18897 | TEL TOKYO ELECTRON | 201346 | Operations manual | 1 | as is where is | ||||
112337 | TEL Tokyo Electron | Indy Plus | Furnace ZRO | 300 mm | 01.06.2016 | 1 | as is where is | ||
112593 | TEL TOKYO ELECTRON | ACT 8 | Single Block Photoresist Coater and Developer with 1C, 3D, R to L, ASML, i-line | 200 mm SMIF | 01.06.1999 | 1 | as is where is | immediately | |
112338 | TEL Tokyo Electron | Indy-A | Furnace DCS Nit | 300 mm | 01.06.2005 | 1 | as is where is | ||
112594 | TEL TOKYO ELECTRON | ACT 8 | Single Block Photoresist Coater and Developer with 1C, 3D, R to L, ASML, i-line | 200 mm SMIF | 01.06.2000 | 1 | as is where is | immediately | |
112339 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2007 | 1 | as is where is | ||
112595 | TEL TOKYO ELECTRON | ACT 8 | Dual Block Photoresist Coater and Developer with 2C, 4D, R to L, ASML, i-line | 200 mm SMIF | 01.04.2000 | 1 | as is where is | immediately | |
112340 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2005 | 1 | as is where is | ||
112596 | TEL TOKYO ELECTRON | ACT 8 | Dual Block Photoresist Coater and Developer with 2C, 4D, R to L, ASML, i-line | 200 mm SMIF | 01.04.2000 | 1 | as is where is | immediately | |
109269 | TEL TOKYO ELECTRON | Telius 305 DRM | Dielectric Etch | 300mm | 1 | as is where is | |||
112341 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2006 | 1 | as is where is | ||
112597 | TEL TOKYO ELECTRON | ACT 8 | Single Block Photoresist Coater and Developer with 1C, 3D, R to L, ASML, i-line | 200 mm SMIF | 01.04.2000 | 1 | as is where is | immediately | |
109526 | TEL TOKYO ELECTRON | ACT12 (4C4D) | PHOTORESIST COATER AND DEVELOPER TRACK | 300mm | 01.06.2010 | 1 | as is where is | ||
112342 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2006 | 1 | as is where is | ||
112598 | TEL TOKYO ELECTRON | ACT 8 | Dual Block Photoresist Coater and Developer with 3C, 3D, R to L, ASML, i-line | 200 mm SMIF | 01.04.2000 | 1 | as is where is | immediately | |
112343 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2006 | 1 | as is where is | ||
112344 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2005 | 1 | as is where is | ||
112345 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2005 | 1 | as is where is | ||
112346 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2004 | 1 | as is where is | ||
114394 | TEL Tokyo Electron | MARK-8 | Lithography coater and developer track | 200 MM | 1 | inquire | |||
108507 | TEL Tokyo Electron | Tactras Vesta NV3 | Polysilicon Etch | 300 mm | 1 | as is where is | |||
109275 | TEL TOKYO ELECTRON | Trias Ti/TiN | Metal CVD (Chemical Vapor Deposition) | 300mm | 1 | as is where is | |||
112347 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2004 | 1 | as is where is | ||
108508 | TEL Tokyo Electron | Tactras Vesta NV3 | Polysilicon Etch | 300 mm | 1 | as is where is | |||
112348 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2005 | 1 | as is where is | ||
112349 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2005 | 1 | as is where is | ||
112350 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2005 | 1 | as is where is | ||
112351 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2005 | 1 | as is where is | ||
112352 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2005 | 1 | as is where is | ||
112353 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2005 | 1 | as is where is | ||
108002 | TEL Tokyo Electron | Alpha 805 | VERTICAL CVD FURNACE, DRY OXIDE | 200 mm | 1 | as is where is | immediately | ||
112354 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2005 | 1 | as is where is | ||
108003 | TEL Tokyo Electron | Alpha 805 | VERTICAL CVD FURNACE, TEOS PROCESS | 200 mm | 1 | as is where is | immediately | ||
109027 | TEL Tokyo Electron | Alpha 8S-Z (AP) | Vertical Furnace Oxide Process | 200 mm | 01.08.1999 | 1 | as is where is | immediately | |
111587 | TEL Tokyo Electron | Alpha-8SE-Z | Vertical Furnace for LPCVD SOD Process | 200 mm | 01.06.2007 | 1 | inquire | immediately | |
112355 | TEL Tokyo Electron | LITHIUS | Photoresist coater and developer track | 300 mm | 01.06.2005 | 1 | as is where is | ||
108004 | TEL Tokyo Electron | Alpha 8S | VERTICAL CVD FURNACE, PYRO PROCESS | 200 mm | 1 | as is where is | immediately | ||
112356 | TEL Tokyo Electron | LITHIUS i+ | Photoresist coater and developer track | 300 mm | 01.06.2006 | 1 | as is where is | ||
108005 | TEL Tokyo Electron | Alpha 8S | VERTICAL CVD FURNACE, POCL3 PROCESS | 200 mm | 1 | as is where is | immediately | ||
112357 | TEL Tokyo Electron | LITHIUS i+ | Photoresist coater and developer track | 300 mm | 01.06.2008 | 1 | as is where is | ||
112358 | TEL Tokyo Electron | LITHIUS Pro-i | Photoresist coater and developer track | 300 mm | 01.06.2007 | 1 | as is where is | ||
112359 | TEL Tokyo Electron | LITHIUS Pro-i | Photoresist coater and developer track | 300 mm | 01.06.2010 | 1 | as is where is | ||
112360 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
111593 | TEL TOKYO ELECTRON | VIP3 | VIP3 CPU board for P8XL prober | spares | 01.06.2004 | 10 | as is where is | immediately | |
112361 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
112362 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
113642 | TEL Tokyo Electron | Clean Track Mk VIII, WEE | i-line photolithography coater and developer track | 150 mm | 01.06.1997 | 1 | as is where is | immediately | |
112363 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
109548 | TEL Tokyo Electron | P12XL | Automatic Prober | 300 mm | 01.06.2005 | 63 | as is where is | immediately | |
112364 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
86253 | TEL TOKYO ELECTRON | 2985-429208-W4 | ACT 12 2985-429208-W4 ADH SUB UNIT BASE ASSY ADHESIVE MODULE | 300 mm | 1 | as is where is | immediately | ||
112365 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
112366 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
113646 | TEL Tokyo Electron | Clean Track MVII | Coater | 150 mm | 01.06.1995 | 1 | as is where is | ||
108527 | TEL Tokyo Electron | Telius SP 305 DRM | Dielectric Etch | 300 mm | 4 | as is where is | |||
112367 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
113647 | TEL Tokyo Electron | Clean Track MVII | Coater | 150 mm | 01.06.1995 | 1 | as is where is | ||
112368 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
112369 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
112370 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
112371 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
112372 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
113652 | TEL Tokyo Electron | VDF-615S | TEL NGC-M3. ver1.02.0001 - Furnace | 150 mm | 01.06.1994 | 1 | as is where is | ||
112373 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
113653 | TEL Tokyo Electron | VDF-615S | TEL NGC-M3. ver1.02.0001 - Furnace | 150 mm | 01.06.1992 | 1 | as is where is | ||
112374 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
113654 | TEL Tokyo Electron | VDF-615S | TEL NGC-M3. ver1.02.0001 - Furnace | 150 mm | 01.06.1992 | 1 | as is where is | ||
112375 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
113655 | TEL Tokyo Electron | VDF-615S | TEL NGC-M3. ver1.02.0001 - Furnace | 150 mm | 01.06.1994 | 1 | as is where is | ||
108536 | TEL Tokyo Electron | Triase+ Ti/TiN | Metal CVD (Chemical Vapor Deposition) | 300 mm | 1 | as is where is | |||
112376 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
113656 | TEL Tokyo Electron | VDF-615S | TEL NGC-M3. ver1.02.0001 - Furnace | 150 mm | 01.06.1992 | 1 | as is where is | ||
112377 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
113657 | TEL Tokyo Electron | VDF-615S | TEL NGC-M3. ver1.02.0001 - Furnace | 150 mm | 01.06.1992 | 1 | as is where is | ||
112378 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
112379 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 01.06.1994 | 1 | as is where is | ||
112380 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 01.06.1995 | 1 | as is where is | ||
114172 | TEL Tokyo Electron | ALPHA-303i Anneal | Vertical Furnace | 300 mm | 1 | as is where is | |||
106749 | TEL TOKYO ELECTRON | LITHIUS i+ | High Reliability and Productivity Coater Developer (5C/5D) | 300 mm | 01.06.2006 | 1 | as is where is | ||
109565 | TEL Tokyo Electron | Unity SCCM Shin | Oxide Etcher with qty 3 chambers | 300 mm | 01.05.2005 | 1 | as is where is | immediately | |
112381 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 01.06.1996 | 1 | as is where is | ||
114173 | TEL Tokyo Electron | ALPHA-303i Anneal | Vertical Furnace | 300 mm | 1 | as is where is | |||
98302 | TEL Tokyo Electron | INDY | Vertical Furnace | 300 mm | 31.05.2006 | 1 | as is where is | ||
112382 | TEL Tokyo Electron | Mark7 | Photoresist coater and developer track | 200 mm | 01.06.1993 | 1 | as is where is | ||
114174 | TEL Tokyo Electron | ALPHA-303i Anneal | Vertical Furnace | 300 mm | 1 | as is where is | |||
98303 | TEL Tokyo Electron | INDY | Vertical Furnace | 300 mm | 31.05.2004 | 1 | as is where is | ||
106751 | TEL TOKYO ELECTRON | TEL TRIAS 200 SPA | DRY ETCH Cluster tool | 300 mm | 1 | as is where is | immediately | ||
112383 | TEL Tokyo Electron | Mark8 | Photoresist coater and developer track | 200 mm | 1 | as is where is | |||
114175 | TEL Tokyo Electron | ALPHA-303i Anneal | Vertical Furnace | 300 mm | 1 | as is where is | |||
108881 | TELEMARK | 259 | Electron Beam Source, Mounted on DN200CF Flange with HV, Water & Instrumentation Feedthroughs | SPARES | 1 | inquire | |||
108882 | TELEMARK | TT-3 | Electron Beam Power Supply with TT-3/6 Control & XY Sweep | SPARES | 1 | inquire | |||
112944 | Temescal | BJD 1800 | E Beam Evaporator, 10CC Crucible | 1 | as is where is | ||||
112945 | Temescal | BJD-1800 | E Beam Evaporator, 7cc Crucible | 1 | as is where is | ||||
112946 | Temescal | VES-2550 | High Volume Evaporator | 1 | as is where is | ||||
108883 | TEMESCAL | BJD-1800 | E-Beam Evaporator with CV-14 Power Supply | 1 | inquire | ||||
108884 | TEMESCAL | FCE-2500 | E-Beam Evaporator with Simba 2 Power Supply | 1 | inquire | ||||
108885 | TEMESCAL | VES-2550 | E-Beam Evaporator with CV-14 Power Supply | 1 | inquire | ||||
114260 | TEMPRESS | 2506580-21 REV A | TOUCH SCREEN FOR HORIZONTAL FURNACE TS8403 | SPARES | 01.06.2006 | 2 | as is where is | immediately | |
77208 | Tempress | 02022 | Solar Wafer Quartz wafer boat, 5" | 156 mm | 01.06.2010 | 3 | as is where is | immediately | |
83553 | TEMPTRONIC | TP22-2 | TEMPERATURE TEMP SET | TP22-2 | 01.12.1986 | 1 | as is where is | immediately | |
83829 | TEMPTRONIC | THERMO SPOT | THERMO SPOT HEATING HEAD | SPARES | 1 | as is where is | immediately | ||
83575 | TENCOR INSTRUMENTS | AC 100V | POWER SW | AC 100 V | 01.04.1996 | 1 | as is where is | ||
83576 | TENCOR INSTRUMENTS | AC 100-120 V | POWER SW | AC 100-120 V | 01.11.1995 | 1 | as is where is | immediately | |
112948 | Tepla | 4011 | Box Plasma Etcher | 1 | as is where is | ||||
113993 | Tepla | 300 | 300 Plasma Asher | 150 mm | 1 | inquire | |||
113994 | Tepla | 300 | 300 Plasma Asher | 150 mm | 1 | inquire | |||
113995 | Tepla | 300 | 300 Plasma Asher | 150 mm | 1 | inquire | |||
113996 | Tepla | 300 | 300 Plasma Asher | 150 mm | 1 | inquire | |||
113997 | Tepla | 300 | SemiAuto Plasma Asher | 150 mm | 1 | inquire | |||
113998 | Tepla | 600 | Plasma Asher (RF) | 150 mm | 1 | inquire | |||
113999 | Tepla | 300PC | Plasma Asher | 150 mm | 1 | inquire | |||
82177 | Teradyne | 405-097-00 | Power-One Dual 5 V Power Supply 150 Amp, 230 VAC, for Teradyne J971 tester | Spares | 01.06.1997 | 4 | as is where is | immediately | |
78361 | Teradyne | J971SP (Spares) | Boards from VLSI test system | Test | 01.01.1997 | 1 | as is where is | immediately | |
113177 | TERADYNE | CATALYST | Automated Test Equipment | 200 mm,300 mm | 01.06.1998 | 1 | as is where is | immediately | |
113178 | TERADYNE | CATALYST | Automated Test Equipment | 200 mm,300 mm | 01.06.2000 | 1 | as is where is | immediately | |
113179 | TERADYNE | CATALYST | Automated Test Equipment | 200 mm,300 mm | 1 | as is where is | |||
113186 | Teradyne | TSLH 124 | In-circuit Test System | TEST | 2 | inquire | immediately | ||
83497 | Teradyne | 405-142-00 | Power Supply 150 Amp, 230 VAC | Spares | 01.06.1997 | 1 | as is where is | immediately | |
82231 | Teradyne | 961-061-00 | Teradyne J971 Power Supply | 01.07.1997 | 1 | as is where is | immediately | ||
82232 | Teradyne | 961-128-00 | Teradyne J971 Power Supply | 01.07.1997 | 1 | as is where is | immediately | ||
108607 | TERADYNE | IP750EX | AUTOMATED TEST EQUIPMENT For CMOS Image Sensor testing | TEST | 01.06.2012 | 1 | as is where is | immediately | |
80215 | Teradyne | 950-662-02/A | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 60619 9341 | Spares | 2 | as is where is | immediately | ||
78168 | Teradyne | 950-656-00 rev B | PCB from test system | Spares | 01.06.2000 | 1 | as is where is | immediately | |
80216 | Teradyne | 953-003-01 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 7221 9720 | Spares | 1 | as is where is | immediately | ||
80217 | Teradyne | 950-542-00 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 30420 9208 | Spares | 1 | as is where is | immediately | ||
80218 | Teradyne | 950-541-00 REV A | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 53420 9208 | Spares | 1 | as is where is | immediately | ||
80219 | Teradyne | 950-421-01/A | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61819 9517 | Spares | 2 | as is where is | immediately | ||
80220 | Teradyne | 950-713-00 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 2220 9702 Z18XX | Spares | 1 | as is where is | immediately | ||
80221 | Teradyne | 950-220-02 rev a | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 42419 9251 | Spares | 1 | as is where is | immediately | ||
80222 | Teradyne | 950-777-01 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 46500L 9513 | 1 | as is where is | immediately | |||
80223 | Teradyne | 950-572-04 Rev. A | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61219 9345 | Spares | 2 | as is where is | immediately | ||
80224 | Teradyne | 950-569-03/A | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314 | Spares | 2 | as is where is | immediately | ||
80225 | Teradyne | 950-212-03/B | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /B 55119 9328 | Spares | 1 | as is where is | immediately | ||
80226 | Teradyne | 950-687-01 rev D | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /D 9752 L200 | Spares | 1 | as is where is | immediately | ||
80227 | Teradyne | 950-561-04/A | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60620 9547 | 1 | as is where is | ||||
84840 | Teradyne | 880-751-10 /E | Precision Measurement unit PCB, REV E | 1 | as is where is | immediately | |||
83561 | Teradyne | 405-167-00 | Power-One International Series Switching DC Power Supply SPM2E1E1S304 500W I/P 115-230v 12-7A O/P 28VDC 8.6A / 28VDC 8.6A | Spares | 01.06.1998 | 1 | as is where is | immediately | |
83566 | Teradyne | 405-096-00 | POWER ONE Power Supply 150 Amp, 230 VAC (S233) | Spares | 01.06.1997 | 2 | as is where is | immediately | |
114543 | TERADYNE | 515-457-A1 | IP750EX CALIBRATION BOARD | SPARES | 1 | as is where is | |||
108912 | Teradyne | UltraFLEX | Automated Test System | TEST | 01.05.2011 | 1 | as is where is | immediately | |
114554 | TERADYNE | 515-457-A1 | IP750EX CALIBRATION BOARD | SPARES | 1 | as is where is | |||
112519 | Teradyne | ETS-500 | TEST | TEST | 01.06.2006 | 1 | as is where is | ||
112520 | Teradyne | ETS-500 | Tester | TEST | 01.06.2000 | 1 | as is where is | ||
112521 | Teradyne | ETS-500 | Tester | TEST | 01.06.2003 | 1 | as is where is | ||
112522 | Teradyne | iFLEX | Final Test | TEST | 01.06.2006 | 1 | as is where is | ||
112523 | Teradyne | iFLEX | Final Tester | TEST | 01.06.2006 | 1 | as is where is | ||
112524 | Teradyne | iFLEX | TEST | TEST | 01.06.2005 | 1 | as is where is | ||
112525 | Teradyne | iFLEX | TEST | TEST | 01.06.2006 | 1 | as is where is | ||
112526 | Teradyne | IP750 | Tester | TEST | 01.06.2000 | 1 | as is where is | ||
112527 | Teradyne | IP750 | Tester | TEST | 01.06.2000 | 1 | as is where is | ||
112528 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112529 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112530 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112531 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112532 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112533 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112534 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112535 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112536 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112537 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112538 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112539 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112540 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112541 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112542 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112543 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112544 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112545 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112546 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112547 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112548 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112549 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112550 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112551 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112552 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112553 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112554 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112555 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
81836 | Teradyne | 961-129-01 | Teradyne J971 test system power control panel | 01.04.1997 | 1 | as is where is | immediately | ||
112556 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112557 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112558 | Teradyne | IP750EMP | Automated Test Equipment | TEST | 1 | as is where is | |||
112559 | Teradyne | IP750EX | Automated Test Equipment | TEST | 01.06.2001 | 1 | as is where is | ||
112560 | Teradyne | IP750S | Automated Test Equipment | TEST | 01.06.2006 | 1 | as is where is | ||
111537 | TERADYNE | MAGNUM 2X GVLC | Automated test equipment | TEST | 01.06.2010 | 2 | as is where is | immediately | |
112561 | Teradyne | J750 | Automated Test Equipment | TEST | 01.06.2001 | 1 | as is where is | ||
111538 | TERADYNE | MAGNUM 2X GVLC | Automated test equipment | TEST | 01.06.2010 | 1 | as is where is | ||
112562 | Teradyne | Magnum 5 EV | Memory Tester | TEST | 01.06.2018 | 1 | as is where is | immediately | |
112563 | Teradyne | Magnum II ICP | ANALYSIS | TEST | 01.06.2012 | 1 | as is where is | ||
112564 | Teradyne | Magnum SSV | Memory Tester | TEST | 01.06.2005 | 1 | as is where is | ||
108213 | TERADYNE | CATALYST | EDS/ TEST | test | 1 | as is where is | |||
112565 | Teradyne | Magnum SSV | Memory Tester | TEST | 1 | as is where is | |||
112566 | Teradyne | UltraFLEX | UFLEX PA Option_U Flex_ASET | TEST | 01.06.2012 | 1 | as is where is | ||
113335 | Teradyne | J750Ex | Automated test Systems with (512/1024 pins, 8 x HSD200 & 2 DPS) | Test | 01.06.2014 | 7 | as is where is | immediately | |
108987 | TERADYNE | 950-217-04 REV B | PC BOARD FOR TERADYNE J971 SP | Spares | 1 | immediately | |||
108988 | TERADYNE | 950-561-04 REV A | PC BOARD FOR TERADYNE J971 SP | Spares | 1 | immediately | |||
108990 | TERADYNE | 950-562-00 REV A | PC BOARD FOR TERADYNE J971 SP | Spares | 01.06.1997 | 1 | immediately | ||
108991 | TERADYNE | 950-681-00 REV A | PC BOARD FOR TERADYNE J971 SP | Spares | 1 | immediately | |||
108992 | TERADYNE | 950-560-00 REV A | PC BOARD FOR TERADYNE J971 SP | Spares | 1 | immediately | |||
80321 | Teradyne | 880-751-10 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 61720 9521 | 1 | as is where is | immediately | |||
80322 | Teradyne | 950-421-01 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 72019 9517 | 1 | as is where is | immediately | |||
108994 | TERADYNE | 950-569-03 REV A | PC BOARD FOR TERADYNE J971 SP | Spares | 1 | immediately | |||
113346 | Teradyne | UFlex SC/HD | Automated TEST Equipment | TEST | 2 | inquire | |||
80323 | Teradyne | 950-574-01 REV A | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 71620 8541 Z18xx | Spares | 1 | as is where is | immediately | ||
80324 | Teradyne | 950-568-00 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 73419 9710 TW568 REV A | Spares | 1 | as is where is | immediately | ||
113348 | TERADYNE | 974-331-44 | ULTRA FLEX HSD1000�Board | SPARES | 3 | inquire | |||
80325 | Teradyne | 950-566-01 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60520 9448 | 2 | as is where is | immediately | |||
113349 | TERADYNE | 974-390-02 | ULTRA FLEX DCIO Board� | SPARES | 3 | inquire | |||
80326 | Teradyne | 950-569-03 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314 | 2 | as is where is | ||||
113350 | TERADYNE | 974-242-11 | ULTRA FLEX UP800 Board� | SPARES | 17 | inquire | |||
80327 | Teradyne | 950-558-00 REV A | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 64620 9509 | Spares | 1 | as is where is | immediately | ||
113351 | TERADYNE | 805-014-00 | ULTRA FLEX VHFAC Board | SPARES | 1 | inquire | |||
80328 | Teradyne | 950-560-00 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 93610 9918 | 1 | as is where is | immediately | |||
113352 | TERADYNE | 974-232-00 | ULTRA FLEX HDVS�Board | SPARES | 1 | inquire | |||
80329 | Teradyne | 950-562-00 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 75019 9226 | Spares | 1 | as is where is | immediately | ||
113353 | TERADYNE | 974-214-02 | ULTRA FLEX BBAC Board | SPARES | 1 | inquire | |||
80330 | Teradyne | 950-681-00 | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 74920 9319 | 1 | as is where is | immediately | |||
113354 | TERADYNE | 814-629-00 | ULTRA FLEX UltraWave 12G Calibration Kit | SPARES | 1 | inquire | |||
80331 | Teradyne | 950-556-01 REV A | Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 80419 94222 | Spares | 1 | as is where is | immediately | ||
113355 | TERADYNE | ULTRA FLEX Gen5 RF (2/1/1) | SPARES | 1 | inquire | ||||
80332 | Teradyne | 950-217-04 | Teradyne J971 PCB, | 1 | as is where is | immediately | |||
113356 | TERADYNE | 974-213-01 | ULTRA FLEX Turbo Frame | SPARES | 4 | inquire | |||
113357 | TERADYNE | 974-215-00 | ULTRA FLEX Turbo Base | SPARES | 3 | inquire | |||
109006 | TERADYNE | 517-400-01 | APMU Board for J750 tester | SPARES | 1 | inquire | immediately | ||
113358 | TERADYNE | 805-007-01 | ULTRA FLEX GigaDig Board | SPARES | 1 | inquire | |||
113359 | TERADYNE | 974-338-02 | ULTRA FLEX SB6G Board | SPARES | 1 | inquire | |||
113360 | TERADYNE | 604-356-04 | ULTRA FLEX UP1600+ | SPARES | 10 | inquire | immediately | ||
113361 | TERADYNE | 605-743-01 | ULTRA FLEX UPAC80 | SPARES | 2 | inquire | |||
113362 | TERADYNE | 805-052-05 | ULTRA FLEX UVS256+ | SPARES | 2 | inquire | 1 month | ||
113363 | TERADYNE | 604-375-12 | ULTRA FLEX UVI80/DC-07 | SPARES | 12 | inquire | immediately | ||
113364 | TERADYNE | 607-742-00 | ULTRA FLEX DSP 2009 | SPARES | 4 | inquire | |||
113365 | TERADYNE | 361-942-04 | ULTRA FLEX DSP | SPARES | 5 | inquire | |||
113366 | TERADYNE | 624-103-21 | ULTRA FLEX Ultra DSP 2 | SPARES | 2 | inquire | |||
54232 | Teradyne | J994 | Memory Tester | test | 01.05.2000 | 1 | as is where is | immediately | |
82925 | Teradyne | 405-155-00 | Power-One International Series DC Switching Power Supply, I/P 230VAC/23A, O/P 3.3V/35A / 28V/8.6A / 28V/8.6A / 15V/16A / 15V/16A | Spares | 01.10.1997 | 1 | as is where is | immediately | |
108886 | TERRA UNIVERSAL | 8 Tank | Stainless Steel Sink with 8ea 14" X 14" X 12" (d) Tanks | 1 | inquire | ||||
108887 | TERRA UNIVERSAL | 1679-06-1 | SST Dessicator Cabinet , 2ea Available | SPARES | 1 | inquire | |||
108888 | TERRA UNIVERSAL | Desiccator Box, 12"x11"x12" | SPARES | 1 | inquire | ||||
108776 | TERRA UNIVERSAL | Dessicator Box | 1 | as is where is | |||||
108608 | TES | CHALLENGER_ST | Plasma-Enhanced CVD system | 300 mm | 01.05.2010 | 1 | as is where is | immediately | |
80266 | TESCOM | 150 | REGULATORS PRESSURE | 3 | as is where is | immediately | |||
70299 | Texwipe | 810-39234 | BRUSH, ELONGATED CORE EBARA (TEXWIPE) | SPARES | 3 | as is where is | immediately | ||
107956 | Thamway | A161-6566B | RF Generator | Spares | 1 | as is where is | immediately | ||
110549 | Thamway | A161-6566B | RF Generator | SPARES | 1 | as is where is | immediately | ||
113291 | THERMA-WAVE | OP 3290 | Opti-probe wafer measurement | 200 mm | 1 | as is where is | immediately | ||
113180 | THERMAL | GYE-12000 | Evaporator System | 200 mm | 1 | as is where is | |||
112949 | THERMCO | HORIZONTAL Diffusion Furnace, 2 BANK WITH 6 TUBES | 100 MM AND 150 MM | 01.06.1999 | 1 | as is where is | |||
114377 | THERMO FEI | Apreo C LoVac | Analytical FEG SEM | 122 MM | 01.06.2018 | 1 | as is where is | ||
113181 | THERMO FISHER | ECO 1000 | FTIR System | 200 mm | 01.06.2002 | 1 | as is where is | ||
106303 | Thermo Fisher | ECO1000-S | FTIR | up to 200 mm | 01.06.1997 | 1 | as is where is | immediately | |
114171 | Thermo Fisher | Theta 300 MKII | Wafer Characterization | 300 mm | 1 | as is where is | |||
108609 | THERMONICS | T-2500SEA | Precision Temperature Forcing System | 300 mm | 01.05.2011 | 1 | as is where is | immediately | |
108889 | THERMONICS | T-2427 | Temperatuire Forcing System with DUT Cooling Shroud, Needs Compressor Work | ASSEMBLY | 1 | inquire | |||
112950 | Thermotron | SM-3.5S | Temperature and humidity chamber | 1 | as is where is | ||||
84243 | THK | 280L | Linear Bearing and guide | 1 | as is where is | immediately | |||
84245 | THK | LWHS15 | LINEAR WAY WITH SINGLE BEARING | Spares | 3 | as is where is | immediately | ||
84246 | THK | RSR 15 | LINEAR WAY WITH 7 BEARINGS | Spares | 1 | as is where is | immediately | ||
84247 | THK | A6F 598 | LINEAR WAY WITH SINGLE BEARING | Spares | 2 | as is where is | immediately | ||
84248 | THK | HSRIZRI/UUM+490LM | LINEAR WAY WITH SINGLE BEARING | Spares | 1 | as is where is | immediately | ||
84249 | THK | ATHI240 | LINEAR WAY WITH SINGLE BEARING | Spares | 1 | as is where is | immediately | ||
84250 | THK | Y8A31 | LINEAR WAY WITH SINGLE BEARING | Spares | 1 | as is where is | immediately | ||
84251 | THK | A6 C II | LINEAR WAY WITH SINGLE BEARING | Spares | 1 | as is where is | immediately | ||
84252 | THK | 689 | LINEAR WAY WITHOUT BEARING | Spares | 1 | as is where is | immediately | ||
84253 | THK | RSR12VM | LINEAR WAY WITH 2 BEARINGS | Spares | 1 | as is where is | immediately | ||
84257 | THK | KS 3J22 | RELIANCE BEARING | 1 | as is where is | immediately | |||
70303 | THK | LMT40UUM+489LFM | LEADSCREW FOR EBARA FREX 200 | SPARES | 2 | as is where is | immediately | ||
83877 | THK CO.,LCD | OR17 | BLOCK SR-2V | 5 | as is where is | immediately | |||
83878 | THK CO.,LCD | SC35uu | LM CASE UNIT | 2 | as is where is | immediately | |||
83879 | THK CO.,LCD | FBA 5 | FLAT BALL | 2 | as is where is | immediately | |||
114505 | TNP Solution | HS600 | TEST HANDLER | Assembly | 4 | as is where is | |||
108128 | TOK | 12262 | Wafer bonder | 1 | as is where is | ||||
108129 | TOK | 12263 | Wafer Debonder | 1 | as is where is | ||||
83827 | TOKIMEC | VA12134A | DIRECTIONAL CONTROL VALVE | spares | 1 | as is where is | immediately | ||
83828 | TOKIMEC | 012-7 | DIRECTIONAL CONTROL VALVE | 2 | as is where is | immediately | |||
83831 | TOKYO ELECRON | 015 | RELAY | SPARES | 1 | as is where is | immediately | ||
83833 | TOKYO ELECRON | 011 | SUPPORT.PCB..SQ-80 | SPARES | 1 | as is where is | immediately | ||
108039 | Tokyo Electron | Spare Parts | Various Spare Parts for sale | Spares | 1 | as is where is | immediately | ||
83641 | TOKYO ELECTRON | 1D10-317R09-12 | PLATE,GALDEN FLOW CHECKER | SPARES | 1 | as is where is | immediately | ||
83640 | TOKYO ELECTRON / CONTEC | FC-SD70 | flow meter | FLOW CHECKER | 1 | as is where is | immediately | ||
77088 | Tolomatic | 11240741 | Tolomatic cylinder, replacement for AMI tools | 1 | as is where is | ||||
108130 | TORAY | FC3000L2 | FLIP CHIP Line | 0 | as is where is |