www.fabsurplus.com
 
 
 
FABSURPLUS.COM
USED SEMICONDUCTOR EQUIPMENT UPDATE JULY 2021
Buy and Sell Semicondutor Equipment Today with us
 
 
 
 
 
 

SEMICONDUCTOR MANUFACTURING EQUIPMENT AND SPARES FOR IMMEDIATE SALE
 

Dear Customers and Friends,

SDI-Fabsurplus is an Experienced Multi-National Semiconductor Equipment Brokerage, Established in 1998, with Offices and Agents in America, Europe and Asia. This month, we have 3 lists of Semiconductor Manufacturing Equipment for sale as follows:

List 1: Equipment for Sale Owned by SDI-Fabsurplus and located at our warehouses in Texas, Italy and Malaysia.

List 2: Featured Sales Items for July 2021.This includes a selection of the best and most interesting equipment that recently became available which we are selling from factories around the world.

List 3: List of Spares for Sale, owned by SDI-Fabsurplus and located at our warehouses worldwide

 
Lambda Physik Novaline
 
Lambda Physik Novaline
 
 
  ▶  READ MORE   
 
Synax SX3100
 
Synax SX3100
 
 
  ▶  READ MORE   
 
SPTS 320PC
 
SPTS 320PC
 
 
  ▶  READ MORE   
 
 
EVG 520
 
EVG 520
 
 
  ▶  READ MORE   
 
FEI Tecnai G2 F30
 
FEI Tecnai G2 F30
 
 
  ▶  READ MORE   
 
Oerlikon Clusterline 200
 
Oerlikon Clusterline 200
 
 
  ▶  READ MORE   
 
 
Seiko STP 301H
 
Seiko STP 301H
 
 
  ▶  READ MORE   
 
AMAT 0010-20422
 
AMAT 0010-20422
 
 
  ▶  READ MORE   
 
Oriel 68805
 
Oriel 68805
 
 
  ▶  READ MORE   
 
 
 

Here follows a list of just a few selected items of equipment we have in our stock for immediate sale.For further details on each item, please visit the Website links shown below and please refer to the more detailed list in the attached excel file.

 
▶   DOWNLOAD EQUIPMENT FOR SALE OWNED BY FABSURPLUS:COM (.XLSX FORMAT)  
 
SDI ID Manufacturer Model Description Qty
54859 35 MWp Baccini Solar Cell Print line for Mono or Poly Crystalline Solar Cells 1
98785 60 MWp Baccini Solar Cell Print Line for Mono or Poly Crystalline Solar Cells 1
87652 Advantest T5371 Test system (With a single test head ) 1
87651 Agilent / Verigy / Keysight V6000e Test system 1
83514 Applied Materials Opal 7830i Enhanced CD-SEM 1
68025 Canon FPA 5000 ES2+ 248 nm lithography exposure system 1
56144 Centrotherm Centronic E2000 Horizontal diffusion furnace for POCl3 doping 1
98721 Centrotherm E 2000 HT 300-4 Diffusion Furnace 1
87089 Credence Personal Kalos I Test system 1
78132 Electroglas Horizon 4085X Fully Automatic Prober with an inker 1
83513 Entegris RSPX-EUV-036 EUV Reticle stocker 1
83515 Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer 1
95405 Fortrend F6000QS 6 INCH WAFER TRANSFER 1
79600 Gigi Molina Brevetti Plastici SpA Custom Manual wet hood 1
71907 Hamamatsu C7103 PC Controlled IC Back-side Lapping and Wafer Grinding System 1
79595 K Tech Engineering BK04A Blister tape applicator for microelectronic components 1
103208 Keller VARIO-T 1.0-SC8-B30-HD Scrubber / Compact Dust Separator for Baccini laser unit exhaust air 1
71632 KLA-TENCOR 2122 WAFER DEFECT INSPECTION 1
15066 LAMBDA PHYSIK Novaline K2005 248 nm EXCIMER LASER 1
98731 Rofin PowerLine D-100 (RSM, Sx) Fiber Laser for solar cell edge isolation 1
56813 Roth & Rau SiNA Plus PECVD - Deposition of Silicon Nitride 1
73208 Solitec 5110C Manually loading Photoresist Spin Coater 1
102623 SPTS Omega 201 Plasma Dry etcher 1
79584 SPTS 320 PC Reactive Ion Etcher 1
33413 SYNAX SX3100 Handler Ambient/Hot 1
2181 TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch 1
21270 TEL TOKYO ELECTRON MB2 730HT CVD SYSTEM, 3 CHAMBER WSi Process 1
79594 Vision Engineering Dynascope Inspection Microscope 1
80238 Weiss TS130 Thermal shock testing chamber 1



Featured Items For Sale - July 2021

Here follows a list of a few selected featured sales items for this month. Many more interesting sales items are available on our website and in the attached excel file.

 
▶   DOWNLOAD JULY 2021 FEATURED ITEMS FOR SALE (.XLSX FORMAT)  
 
SDI ID Manufacturer Model Description Qty
103440 12 inch Partial Wafer Fab Line (355 items) 1
103380 Accretech TSK UF3000 EX Auto Probing Machine FOUP Type 3
99380 ADVANTEST T5771ES Automated Test Equipment 1
99379 ADVANTEST T5375 Automated Test Equipment 1
93868 Alcatel AMS 4200 Advanced Multi chamber ICP Plasma Etching System / Bosch Process 1
101818 August NSX105 Wafer Bumping Inspection System / Macro defect inspection 1
102553 Brooks PRI DBM 2407 Dual arm Atmospheric wafer handling robot 2
102556 Brooks PRI Mag 7 ( for LAM etching ) vacuum robot 002-1600-07 2
98456 Brooks PRI Mag 8 vacuum robot( AMAT Producer GT) 1
100703 Disco DFL 7161 Laser Saw 2
102558 DNS RF3S coater and developer( 4C4D) 1
103140 EVG 520 Manual Wafer Load Substrate Bonder 1
102599 Expertech CTR-200 Wet/Dry Oxidation Annealing System 1
103436 FEI Tecnai G2 F30 TEM electron microscope 1
98466 IPEC 472 CMP 2
103460 Jeol JSM6400 Scanning Electron Microscope 1
98473 LAM Research Ontrak DSS-200 Post CMP cleaner 3
99385 NEXTEST MAVERICK PT II Automated Test Equipment 4
99381 NexTest / Teradyne MAGNUM I EV Automated Test Equipment 1
98486 Nitto MA 3000 II Wafer tape mounter and demounter 1
103439 Oerlikon Clusterline 200 PVD cluster tool with 6 chambers (Used for Al, Ti, NiV and AG) 1
102562 Rorze RR700L150-Z30-01 Single arm AMAT Mirra Mesa CMP SMIF robot 1
98492 Rorze RR713L1521-3A3-E13-1 Dual arm Atmospheric wafer handling robot 1
98494 Rudolph MP300 Cu Film thickness measurement 2
102642 Unaxis/Balzers ZH620 Alumina Fill Fill Sputter Deposition System 1
102564 Yaskawa XU RCM6841 atmospheric wafer robot( AMAT Endura/Centura) 1
 



Featured Spare Items For Sale List

Here follows a shortlist of just a few of the hundreds of spares parts we have for sale in our stock. A longer list is attached in excel.For further details on each line item, please visit the Website links shown.

 
▶   DOWNLOAD SPARES LIST (.XLSX format)  
 
SDI ID Manufacturer Model Description Qty
92009 Advantest T5335P Boards from an Advantest T5335P Test system 18
86305 AMAT 0010-20422 Endura 5500 PVD 8" Shield treatment and cover assembly 1
52153 Canon Chuck Tool Chuck Tool for EX3, EX4, i4, i5 1
52162 Canon Bar Mirror Bar Mirror Kit For Canon FPA 3000 series 1
52262 Canon 6736A reticle handling robot for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 1
53066 Canon Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 Pellicle Particle Checker Unit for FPA3000 series stepper 1
54562 CTI cryogenics CRYOTORR-100 Cryopump 1
79395 Ebara A30W Vacuum Pump 1
69856 MKS Type 624 Baratron pressure transducer with trip points, range 1000 Torr, CE 1
103384 Nuclear Elettronica 314E 5/12 - 6/2 rev A Power Supply, 5V/12A - 6V/2A 14
21123 ORIEL 68805 POWER SUPPLY 40-200 WATTS FOR MERCURY ARC LAMP 1
53053 Rorze RR304L90 Wafer handling robot, with 5 ceramic robot blades 1
52191 Seiko Seiki STP 301H Turbo Pump Controller Unit 1
78170 Sensarray 1530D-8-0023 Process Prober Instrumented Wafer 1
89968 SMC INR-341-61A Triple Loop Chiller 1
69817 VEXTA UDK5114NA 5-PHASE DRIVER 1
72155 SVG /ASM 128197-001 HEATER ELEMENT, HCGI 1
83829 TEMPTRONIC THERMO SPOT THERMO SPOT HEATING HEAD 1
72134 Varian E11002430 WAFER COOLING CONTROLLER 2
84082 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type 1
92387 Varian E11030450 REV 3 VERT SCAN ACTUATOR 2
 
 
 

Wanted Items for July 2021

We also buy equipment. We are currently searching for the following items for immediate purchase. If you have used equipment to sell, please send us the details and price:-


Wanted ID   Manufacturer Model Comments
29195 Any Any Used Gravity handler for SOP14 (Tube to Tube), with CE mark, in working condition.
29213 Applied Materials Centura 5200 eMxP+ Just want to buy a core tool with “as is” conditions. Require 3 x 200 mm chambers.
29267 Applied Materials Centura 5200 DPS2 200 mm, 2ch Etch, 2 Ch Strip, needed in working condition
29137 Applied Materials XR80 High Current Implanter, 150 mm or 200 mm
29198 Axcelis 1173930C Wafer Disk, 150 mm, GSD
29216 Axcelis NV-GSD-200 Implanter
29097 Axcelis NV-GSD-HE High Energy Implanter, 200 mm
29265 Datacon BESI 2200 EVO Bonder With 2 axis
103266 Disco DFD 6340 Dicing Saw, “as is”
103223 Disco DTG8440 GRINDER FOR Taiko Process, 8 INCH
29093 Disco DTU162 Chiller, In FULL WORKING CONDITION or refurbished
29154 DNS MP2000 Scrubber Track
29266 Hesse + Knipps BJ820 Wire Bonder
29211 Hitachi S9380 II CD SEM, working condition
103209 KLA ADE9700 Wafer Flatness measurement
103727 Laurier DS7000 Or similar. Pick and Place, to pick to and from 5 inch vacuum release gel-pack, with optional flip-over or tombstone placement if possible.
29159 Novellus C2 Dual Sequel Shrink or Express, 200 mm, with DCLM with shuttle, 8 inch.
28781 Revera Veraflex 2 Wafer Inspection
29199 Varian Viista 80 HP High Current Implanter



We have more than 20 years experience of marketing semiconductor equipment worldwide, and our long experience has allowed us to develop many industry contacts. Contact me now to learn about how we can help you sell your equipment quickly, efficiently and at a low cost through our equipment remarketing program.



Thanks so much for your kind attention, and we look forwards to getting your equipment requests and lists of surplus tools for sale soon.

Yours sincerely,

SDI Fabsurplus 20 years

SDI Fabsurplus LLC

Stephen Howe
Company Owner
email: info@fabsurplus.com
Mobile:(USA) +1-830-388-1071
Mobile:(Italy) +39-335-710-7756
Skype: stephencshowe


WWW.FABSURPLUS.COM
Linkedin: https://www.linkedin.com/company/sdi-fabsurplus
Twitter: https://twitter.com/fabsurplus
Instagram: www.instagram.com/fabsurplus
Facebook: www.facebook.com/fabsurplus
 
 
 
Our mailing address is:
SDI Fabsurplus LLC - 1001 S.Main Ste. 3, Boerne, Texas, 78006 - USA

 
Want to change how you receive these emails?
You can unsubscribe from this list