fabsurplus.com

List of 23 equipment available for sale at fabsurplus.com

The following are the items available for sale related to 23 at SDI fabsurplus.com. To inquire about the 23 equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of 23 items.



SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
77167 Advantech HK-6323 data acquisition card ISA Spares 2 as is where is immediately
80298 Advantest BGR-018823 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
108993 Advantest / アドバンテスト BGR-018823 REV X03 PC BOARD FOR ADVANTEST T5335P Spares 1 immediately
109667 AE (Advanced Energy) Cesar 0230 Generator SPARES 1 inquire
77162 Anaheim Automation 23D306S Stepper motor 3.4VDC 2.9A Spares 1 as is where is immediately
79884 ANDO AF9723 Eprom Gang programmer w/ RAM expansion Electronics Test and Measurement 01.01.1995 1 as is where is immediately
110867 Applied Materials ETN23A-SC-B (Orion Pel-Thermo) Megasonic Heat Exchanger - CMP Cleaner Spares 5 inquire
110869 Applied Materials 0040-43238 Solid PEEK mega rollar - CMP Cleaner Spares 5 inquire
110882 Applied Materials 0090-77239 Harness, H202 HH Level Sensor - CMP Cleaner Spares 5 inquire
110900 Applied Materials 0010-77323 Brush gear assy - CMP Cleaner Spares 5 inquire
18840 APPLIED MATERIALS 0230-00101 Precision etch 8300 1 as is where is
18841 APPLIED MATERIALS 0230-09130 Precision 5000 Mark II spare part identified 1 as is where is
18842 APPLIED MATERIALS 0230-09259 Precision 5000 Mark II Mainframe and support equipment manual 1 as is where is
4252 Applied Materials 0230-09130 P5000 SPARE PARTS IDENTIFIER Spares 01.01.1992 1 as is where is
111063 Applied Materials 0021-21234 SHIELD, UPPER HI-COND TIN LONG, 300MM PVD - DSTTN Spares 5 inquire
110818 Applied Materials 0010-12344 Pad cond Assembly - CMP Polisher and Lower Mirra Spares 5 inquire
110823 Applied Materials 0190-16236 Wet robot -Wrist Motor - CMP Polisher and Lower Mirra Spares 5 inquire
110824 Applied Materials 0190-16235 Wet robot - Shoulder Motor - CMP Polisher and Lower Mirra Spares 5 inquire
106217 Applied Materials 0020-20523 COVER Spares 01.06.1999 1 as is where is immediately
105852 Applied Materials ® 0230-09258B P5000 Mk II Mainframe and Support Equipment Manual Spares 30.06.1994 1 as is where is immediately
105853 Applied Materials ® 0230-00103 Precision Etch 8300 Corrective Maintenance Rev 3 Spares 30.04.1990 2 as is where is immediately
105854 Applied Materials ® 0230-20005 Endura Operations and Programming Training Course Student Workbook Spares 31.08.1993 1 as is where is immediately
6536 Applied Materials ® 0230-09258 B P5000 Mk II Mainfame support and equipment manual cleanroom July 1994 Spares 01.07.1994 1 as is where is immediately
77184 Athena 16C-B-S-0-23-00 Temperature / Process controller 01.02.2012 1 as is where is immediately
111286 AXCELIS 17270230 SHIELD (2) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111304 AXCELIS 17173230 APERTURE ENTRANCE E-SHOWER, GPH, CG - AXCELIS HE3 Spares 5 inquire
111087 Axcelis 17230090 ARC SLIT T15 W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
105905 Canon BG3-3823 DMP-DSP PCB Spares 01.05.2000 1 as is where is immediately
52447 CANON Fujitsu Denso 4249-E923 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 01.06.1999 1 as is where is immediately
108743 DAGE BT23PC Die Shear Tester with LC200 Die Shear Load Cell, 2ea Available ASSEMBLY 1 as is where is 2 weeks
108745 DAGE BT23-PC Die Shear Tester with LC200 Die Shear Load Cell Assembly 01.05.1996 1 as is where is immediately
79885 Elind 3232 Laboratory power supply 0-3,2A current adj - 0 - 32 V voltage adj Electronics Test and Measurement 1 as is where is immediately
83802 ESI 42328 ESI pcb Precharged Servo Preamp Spares 1 as is where is immediately
83859 ESI 42356 S&R Interface PC Board FOR ESI MODEL 44 LASER TRIMMER Spares 1 as is where is immediately
109556 Hitachi HD2300 STEM (Scanning Transmission Electron Microscope) Laboratory 01.06.2006 1 as is where is immediately
108823 K&S 4123 Manual Wedge Bonder ASSEMBLY 1 inquire
53026 KLA 715-023506-00 Complete lead screw and stepping motor for KLA 2xx reticle inspection system spares 1 as is where is immediately
84308 KLA 710-658232-20 Memory Controller Phase 3 PC board REV H1 Spares 1 as is where is immediately
106080 KLA 710-023236-00 Rev B1 P3 Scan delay FIR Filter PCB Spares 1 as is where is immediately
106083 KLA 710-023589-00 Rev B2 SERVO DRIVE 1 III PCB Spares 1 as is where is immediately
84076 KLA 050-654234-00 Lamp Micro Line Filament w/ clips 30.09.1996 1 as is where is immediately
106107 KLA 710-023602-00 B Display System Trap, RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106108 KLA 710-023256-00 C2 P3 Data Input PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106109 KLA 710-023141-00 Assy, Formatter p3 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106113 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106114 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106115 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106124 KLA 710-023279-00 H2 04/16 level dump PCB for KLA 2xx reticle inspection system Spares 01.09.1991 1 as is where is immediately
106126 KLA 710-023455-00 XC e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106128 KLA 710-023455-00 XC e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106131 KLA 710-023599-01 B1 Computer IF (RF) PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106133 KLA 710-023273-00 C 512 K ram, 210 series PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
83635 KLA 712-023914-00 rev B CCD TV camera for KLA 2XX reticle inspection system SPARES 1 as is where is immediately
109537 KLA 2351 Brightfield Wafer Defect Inspection System 200 mm 01.06.2002 1 as is where is immediately
109562 KLA 2367 Brightfield wafer defect inspection system 200 mm 01.09.2006 1 as is where is immediately
32230 KLA TENCOR SFS6400 MECHANICAL CALIBRATION Document Number 238 WAFERSURFACE ANALYSIS SYSTEM CUSTOMER MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
32232 KLA TENCOR surfscan 64XX optical alignments Document Number 236 WAFER SURFACE ANALYSIS SYSTEM MAINTENANCE REFERENCE MANUAL 1 as is where is immediately
18872 KLA-TENCOR 563234-27 Reference manual 1 as is where is
90149 Kokusai DD-1223 V-DF Vertical Furnace, Wet Oxidation 300 mm 01.06.2013 1 as is where is immediately
109108 Kokusai DJ-1236VN-DF Vertical Low-Pressure CVD System 300 mm 01.01.2016 1 as is where is immediately
103534 Kokusai DD1223VN Pyro 300 mm 1 as is where is
103535 Kokusai DJ1223VN ALD 300 mm 1 as is where is
103536 KOKUSAI ZESTON-lll DD-1223V Dielectric CVD 300 mm 01.05.2011 1 as is where is
108006 Kokusai DD-823V VERTICAL CVD FURNACE, H2 ANNEAL PROCESS 200 mm 2 as is where is immediately
106874 Lam 2300 Exelan Flex Dry Etcher with 3 chambers 300 mm 01.07.2004 1 as is where is immediately
106691 LAM 2300 CHAMBER ONLY MWAVE STRIP (POLY) 300 mm 01.06.2010 1 as is where is
106692 LAM 2300 CHAMBER ONLY MWAVE STRIP (POLY) 300 mm 01.06.2013 1 as is where is
106693 LAM 2300 CHAMBER ONLY MWAVE STRIP (POLY) 300 mm 01.06.2013 1 as is where is
106694 LAM 2300 CHAMBER ONLY MWAVE STRIP (POLY) 300 mm 01.06.2013 1 as is where is
106695 LAM 2300 CHAMBER ONLY MWAVE STRIP (POLY) 300 mm 01.06.2014 1 as is where is
106696 LAM 2300 FX EX+ CHAMBER ONLY PLASMA OXIDE ETCH 300 mm 01.06.2013 1 as is where is
106697 LAM 2300 MWAVE STRPR CHAMBER MWAVE STRIP (POLY) 300 mm 01.06.2018 1 as is where is
111370 LAM Research 2300 Exelan Flex 45 Etcher Asher 12" / 300mm ICP 1 as is where is immediately
111371 LAM Research 2300 Strip 45 1 as is where is immediately
108167 LAM Research 2300 MWAVE STRPR Chamber only 300 mm 01.06.2012 1 as is where is
110728 LAM Research 2300 Dry etch cluster tool Mainframe without chambers 200 MM 01.06.2008 1 as is where is immediately
109206 LAM Research 2300 Coronus Wafer Edge Cleaning - Plasma 300mm 1 as is where is
109207 LAM Research 2300 Exelan Flex FX - Chamber Only Dielectric Etch 300mm 1 as is where is
109208 LAM Research 2300 Versys Metal Metal Etch 300mm 1 as is where is
109209 LAM Research 2300e4 Exelan Flex DX Dielectric Etch 300mm 1 as is where is
109210 LAM Research 2300e4 Exelan Flex ES Dielectric Etch 300mm 1 as is where is
108448 LAM Research 2300 Coronus Wafer Edge Cleaning - Plasma 300 mm 1 as is where is
108449 LAM Research 2300 Exelan Flex Dielectric Etch 300 mm 6 as is where is
108457 LAM Research 2300e4 Exelan Flex GXE Dielectric Etch 300 mm 1 as is where is
111028 Lam Research 716-023013-037 RING,HE, 38X ESC,RND, SGL XTL, 300MM - 2300 Flex Spares 5 inquire
91320 LAM Research EXELAN 2300 OXIDE ETCH 300 mm 1 as is where is
98277 LAM Research 2300 MWAVE STRPR Asher/Stripper 300 mm 31.05.2012 1 as is where is
109214 Metryx Mentor OC23 Mass Measurement 200mm 1 as is where is
108465 Metryx Mentor OC23 Mass Measurement 200-300 mm 1 as is where is immediately
108029 MSP CORP. 2300XP1 Particle Depositioning 300 mm 1 as is where is
83888 NEC MU1238B-11B AIRFLOW ROTATION 1 as is where is immediately
111276 NISSIN SOK30-2123 Reflector (4) - 3000 3000(MO) 3000(W) Spares 5 inquire
111094 Nissin SOK11-0123 Filament Feedthrough 1B - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
69870 Power One HPM5A2A2KS234 5V Switching Power Supply TEST 31.05.1998 5 as is where is immediately
69873 Power One HPM5F2F2KS233 2V Switching Power Supply TEST 01.06.1998 2 as is where is immediately
83881 Rorze BERC-RD023MS 2P MICRO STEP DRIVER VF C5622 3 as is where is immediately
106661 SDI FAAST 230-DP+SPV+SLIC CARRIER LIFETIME MEASUREMENT 200 mm 1 as is where is
109585 Semitool Symphony 2300 Spray Acid Tool (1-chamber, 300mm) 300 mm 1 inquire
84364 Semitool PA7230M SRD Rotor spares 1 as is where is immediately
78169 Sensarray 1530D-8-0023 Process Probe Instrumented Wafer 200 mm 31.05.2003 1 as is where is immediately
78170 Sensarray 1530D-8-0023 Process Prober Instrumented Wafer 200 mm 01.07.2003 1 as is where is immediately
108054 Shibasoku S230 Automated test equipment TEST 1 as is where is
83919 SWAGELOK 207/235/332 TUBE FITTINGS 4 as is where is immediately
70299 Texwipe 810-39234 BRUSH, ELONGATED CORE EBARA (TEXWIPE) SPARES 3 as is where is immediately
111121 Varian E17454233 Liner, End Top Plate, 90 Deg, Textured Spares 5 inquire
111170 Varian E17312370 NOZZLE TUNGSTEN BASE PLATE IHC V80, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111173 Varian E17312370 E17312370 NOZZLE TUNGSTEN BASE PLATE IHC V80 - VIISta HP/HC/HCS Spares 5 inquire
111182 Varian W220916001-23R23 CATHODE SHAFTLESS,GE(II),F21,BULLET TYPE,GEF4,9MM,SIDE 1.2MM,W, HIGH PURITY - HC Spares 5 inquire
111184 Varian W220916001-23R24 WAA2301131 CATHODE SHAFTLESS, GE(II), F21, BULLET TYPE, GEF4, 7.6MM W, SIDE 0.68MM, HIGH PURITY - HCS Spares 5 inquire
111185 Varian E17295630R23 CATHODE ASSY,MODIFIED (BOTTOM 7.6MM), W, HIGH PURITY - HCS Spares 5 inquire
111186 Varian WW2091030-23 PH3 SOURCE SPECIES CATHODE PM KITS, W, HIGH PURITY - XER/EHP Spares 5 inquire
111219 Varian E17288230R9 BASEPLATE,IHC 810, NONVAPORIZER, MI, W, HIGH PURITY - XER/EHP Spares 5 inquire
111220 Varian E17288230 BASEPLATE IHC 810, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
72138 Varian E17032320 CHASIS GUIDE M FRME ESSERV Spares 2 as is where is immediately
105863 WIKA 233.50.100 -1 BAR PRESSURE GAUGE G1/2A D1001/2" Spares 31.05.1997 1 as is where is immediately


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry