www.fabsurplus.com
 
 
 
 
 
 
 
 
 
▶  VIEW COMPLETE CATALOG 
▶  DOWNLOAD THE BIDDING FORM 

ENDS THIS THURSDAY 10TH JUNE AT 17:00 (CALIFORNIA TIME)
FABSURPLUS.COM SEMICONDUCTOR EQUIPMENT & SPARES CLOSED BID AUCTION SALE
 

We must clear excess stock and spares from our warehouses worldwide.

Send in your bids today and pick up some bargains.

Featuring: 100s of items of Equipment and Spare Parts all owned by Fabsurplus.com

VIEWING OF ASSETS

You are very welcome to come and view any of the assets by appointment.

To arrange a viewing, please send a mail to:

info@fabsurplus.com

SUBMITTING A BID

All assets will be sold by "Closed bid".

TO SUBMIT A BID, PLEASE COMPLETE AND SIGN THE ATTACHED BID SUBMISSION FORM AND SEND IT TO:

info@fabsurplus.com

CLOSING DATE

All bids should be submitted before 17:00 on Thursday, 10th June 2021 , California Time, USING THE BID SUBMISSION FORM.

You will be notified of your winning bids within 48 hours of the closing date.

RESERVE PRICES AND MINIMUM TOTAL BID

The amount of the bid submitted for each lot must be equal to or higher than the reserve price.

The minimum total bid is $7,500 USD.

 
Canon L450g Robot
 
Canon L450g Robot
 
 
  ▶  READ MORE   
 
RORZE RR304L90 Robot
 
RORZE RR304L90 Robot
 
 
  ▶  READ MORE   
 
Ebara A30W Vacuum Pump
 
Ebara A30W Vacuum Pump
 
 
  ▶  READ MORE   
 
 
Verigy V6000E Test System
 
Verigy V6000E Test System
 
 
  ▶  READ MORE   
 
Weiss TS130 Chamber
 
Weiss TS130 Chamber
 
 
  ▶  READ MORE   
 
TEL MB2 WSi CVD System
 
TEL MB2 WSi CVD System
 
 
  ▶  READ MORE   
 
 
 

For further details on each line item, please visit the Website links shown below and in the attached excel file.

 
▶   DOWNLOAD CATALOG NOW (.XLSX FORMAT)  
 
Lot No. Manufacturer Model Description Qty Minimum Bid
54859 35 MWp Baccini Solar Cell Print line for Mono or Poly Crystalline Solar Cells 1 $ 300000
98785 60 MWp Baccini Solar Cell Print Line for Mono or Poly Crystalline Solar Cells 1 $ 150000
54226 Accretech TSK MHF300L Test head manipulators 7 $ 5000
95398 Accretech TSK MHF300L Test head manipulators 1 $ 5000
95399 Accretech TSK MHF300L Test head manipulators 1 $ 5000
95400 Accretech TSK MHF300L Test head manipulators 1 $ 5000
95401 Accretech TSK MHF300L Test head manipulators 1 $ 5000
95402 Accretech TSK MHF300L Test head manipulators 1 $ 5000
95403 Accretech TSK MHF300L Test head manipulators 1 $ 5000
76604 ADVANTEST TR6846 Digital Multimeter 1 $ 200
78639 Advantest T5335P (Spares) Spare Boards from test system (See attached list for details) 1 $ 25000
87652 Advantest T5371 Test system (With a single test head ) 1 $ 25000
89909 Advantest Hifix for PQFP80 (14 x 20) Hi-fix for Advantest T5371 package type PQFP80 (14 x 20) 1 $ 2000
92009 Advantest T5335P Boards from an Advantest T5335P Test system 18 $ 8000
79588 Agilent 1671G Logic Analyzer 1 $ 500
79589 Agilent 1671G Logic Analyzer 1 $ 500
18868 Agilent / Verigy / Keysight 5335A Universal Frequency Counter 1 $ 100
10544 Agilent / Verigy / Keysight 4261A LCR METER 1 $ 200
18869 Agilent / Verigy / Keysight E4915A Cyrstal impedance LCR meter 1 $ 300
76605 Agilent / Verigy / Keysight 41501B SMU and PGU 2 units 1 $ 4000
87651 Agilent / Verigy / Keysight V6000e Test system 1 $ 25000
98706 Alcatel ADS 602H Dry Pump 1 $ 2500
2669 ANGELANTONI T600 TU5 Large Clean-room Oven with internal blowers 1 $ 1500
10637 Angelantoni T600 TUS Large Clean-room Oven with internal blowers 1 $ 1500
34740 AP & S TwinStep-B H3P04 Semi-Automatic H3PO4 2 stage Megasonic QDR 1 $ 25000
83514 Applied Materials Opal 7830i Enhanced CD-SEM 1 $ 45000
101768 Applied Materials 0010-00557 REV A Heat Exchanger 1 $ 4000
84765 Asyst 1150-V1315S SMIF Load port 150mm 1 $ 4000
95404 Asyst 1150-V1315S SMIF Load port 150mm 1 $ 4000
77009 Baccini Screen Printer 2 screen printer 1 $ 20000
77010 Baccini Screen Printer 3 screen printer 1 $ 20000
77013 Baccini CHIP AND CRACK CAMERA Chip and Crack camera 1 $ 15000
77017 Baccini Cell electrical tester Electrical Cell tester 1 $ 15000
77021 Baccini Dryer 1 Dryer 1 1 $ 25000
77022 Baccini Dryer 2 Dryer 1 1 $ 25000
98708 Baccini Furnace 1 Drying Furnace 1 $ 15000
98709 Baccini Furnace 2 Drying Furnace 1 $ 15000
98710 Baccini Test 1 Solar Cell Inspection 1 $ 10000
98711 Baccini Test 2 Icos Solar Cell Inspection 1 $ 10000
98712 Baccini Test 3 Automatic Cell Sorter 1 $ 20000
98715 Baccini Printer 1 Screen Printer 1 $ 15000
98716 Baccini Printer 2 Screen Printer 1 $ 15000
98717 Baccini Printer 3 Screen Printer 1 $ 15000
100888 Baccini Furnace 3 Drying Furnace 1 $ 15000
98718 Berger PSS10 Pulsed Solar Simulator 1 $ 5000
52164 Canon FPA 3000 (Spares) ALS System for FPA 3000 series 1 $ 10000
68025 Canon FPA 5000 ES2+ 248 nm lithography exposure system 1 $ 750000
56140 CentroTherm DO 12.000-200-FF-HTO-CAN-NT4.0 Fast Firing Funace with Dryer 1 $ 30000
56144 Centrotherm Centronic E2000 Horizontal diffusion furnace for POCl3 doping 1 $ 50000
98720 Centrotherm DO-FF-8600-300 Fast Firing Furnace 1 $ 20000
98721 Centrotherm E 2000 HT 300-4 Diffusion Furnace 1 $ 30000
98722 Centrotherm E 2000 HT 320-4 Diffusion Furnace 1 $ 30000
98723 Centrotherm Gas Box Auto Refill System 1 $ 10000
98725 Centrotherm Loader Furnace Loader 1 $ 20000
80083 COLUSSI UG 50 E AUTOCLAVE FOR STERILIZATION 1 $ 500
78638 Credence Duo SX (Spare Parts) SPARE PARTS FROM AUTOMATED TEST SYSTEM 1 $ 5000
87089 Credence Personal Kalos I Test system 1 $ 7500
77666 Digital Analysis PH10 Adjustment system PH Adjustment system 1 $ 1000
79394 Ebara A30W Vacuum Pump 1 $ 3000
79395 Ebara A30W Vacuum Pump 1 $ 3000
89967 Ebara ET300WS Turbo pump 1 $ 4000
95413 Ebara ET300WS Turbo pump 1 $ 4000
95416 Ebara ET300WS Turbo pump 1 $ 4000
95417 Ebara ET300WS Turbo pump 1 $ 4000
95418 Ebara ET300WS Turbo pump 1 $ 4000
95420 Ebara 306W Turbo pump controller 1 $ 2500
95421 Ebara 305W Turbo pump controller 1 $ 2500
80052 EDA Industries PCBA 05568 REV 1.3 Pattern test Driver module for BIB oven with 3 x PSU 1 $ 500
54217 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 3 $ 5000
54218 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 $ 5000
54219 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 $ 5000
54220 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo 1 $ 5000
54221 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo 1 $ 5000
54222 Edwards QDP80 Dry Vacuum Pump 1 $ 2000
81822 Edwards E2M40 FSPX Rotary Vacuum Pump with oil filter 1 $ 1000
89969 Edwards D150 Dual GRC unit 1 $ 7500
95559 Edwards iQDP40 Dry Mechanical Pump 1 $ 3000
78132 Electroglas Horizon 4085X Fully Automatic Prober with an inker 1 $ 15000
92047 ELES ART 200 Burn In Board testing system 1 $ 20000
79596 Elind KL 1200W Laboratory Power supply 8 $ 500
79885 Elind 3232 power supply 0-3,2A current adj - 0 - 32 V voltage adj 1 $ 200
79887 elind 328 power supply 0-0,8A current adj - 0 - 32 V voltage adj 1 $ 200
83513 Entegris RSPX-EUV-036 EUV Reticle stocker 1 $ 25000
83739 ESI 44 LASER TRIMMER SPARE PARTS 1 $ 5000
83515 Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer 1 $ 10000
1557 FORTREND F6000QS 6 INCH WAFER TRANSFER 1 $ 500
95405 Fortrend F6000QS 6 INCH WAFER TRANSFER 1 $ 500
83516 FSI Polaris 3500 (Spares) Spares for DUV photoresist coater / developer track 1 $ 7500
79600 Gigi Molina Brevetti Plastici SpA Custom Manual wet hood 1 $ 7500
95406 Gigi Molina Brevetti Plastici SpA Custom Manual wet hood 1 $ 7500
76735 GL Automation IDSCOPE Wafer bar code reader 1 $ 3000
76736 GL Automation IDSCOPE Wafer bar code reader 1 $ 3000
76737 GL Automation IDSCOPE Wafer bar code reader 1 $ 3000
76738 GL Automation IDSCOPE Wafer bar code reader 1 $ 3000
76739 GL Automation IDSCOPE Wafer bar code reader 1 $ 3000
79892 Gossen Konstanter IEC625 Power supply Gossen Konstanter UOP 1 $ 200
71907 Hamamatsu C7103 PC Controlled IC Back-side Lapping and Wafer Grinding System 1 $ 3000
56141 Innolas ILS 700P Laser Edge Isolation 1 $ 20000
56310 Jonas and Redmann Q2 WHD A Loader for Centrotherm E2000 furnace 1 $ 5000
98726 Jonas and Redmann SDB Automated Loader for Baccini Printing Line 1 $ 10000
98727 Jonas and Redmann WHD (Wafer Handling Diffusion) Automated Loader for Centrotherm E2000 Furnace 1 $ 10000
98728 Jonas and Redmann WHP (Wafer Handling Plasm) Automated Loader for Anti Reflection Coating System 1 $ 10000
79595 K Tech Engineering BK04A Blister tape applicator for microelectronic components 1 $ 1000
103208 Keller VARIO-T 1.0-SC8-B30-HD Scrubber / Compact Dust Separator for Baccini laser unit exhaust air 1 $ 5000
71632 KLA-TENCOR 2122 WAFER DEFECT INSPECTION 1 $ 30000
2873 Lam 4520 (spares) REMOTE CART 1 $ 2000
95408 Lam 4520 (spares) REMOTE CART 1 $ 2000
15066 LAMBDA PHYSIK Novaline K2005 EXCIMER LASER 1 $ 15000
33542 Liebherr FKV 3610 Fridge for photoresist 1 $ 1000
98730 LOTUS Spray Cleaner WET Clean for parts 1 $ 15000
79571 Mazzali Climatest C320G5 Temperature and humidity testing chamber 1 $ 2500
79572 Mazzali Climatest C320G5 Temperature and humidity testing chamber 1 $ 2500
80038 MDA Scientific System 16 Toxic Gas Monitor 1 $ 1500
4007 MDC (Materials Development Corp.) DUO CHUCK CSM16 CV Measurement system 1 $ 1000
71902 Microcontrol MWE Plus UV Wafer Eraser with cassette loading 1 $ 1000
79592 Minato Electronics 1940 EPROM Programmer with additional memory 1 $ 500
79593 Minato Electronics 1940 EPROM Programmer 1 $ 500
72156 MRL Black Max Black max heater element, 850 celcius 1 $ 5000
77665 Neslab HX-2000 75 KW Recirculating Chiller 1 $ 3000
31246 PMS Liquitrack 776200 Non volatile residual Monitor 1 $ 1000
54210 Poly Design Inc. Custom Heated Quartz Boat storage / drying system 1 $ 5000
77670 Rasco BCU-750 Brine Chiller 1 $ 4000
57773 Rena Etcher In-Line Etching System 1 $ 40000
98731 Rofin PowerLine D-100 (RSM, Sx) Fiber Laser for solar cell edge isolation 1 $ 15000
53053 Rorze RR304L90 Robot 1 $ 5000
56813 Roth & Rau SiNA Plus PECVD - Deposition of Silicon Nitride 1 $ 150000
76973 Roth & Rau Chiller Chiller 1 $ 5000
79602 Salon Teknopaja OY PWB Printed Wire Board Level Drop Tester with Solder Joint Reliability tester 1 $ 3000
79889 Sanitas EG Multilevel EPROM Programmer 1 $ 200
86303 Sankei Giken TCW-12000 CV Process Module Chiller 1 $ 2000
69878 SEIKO SEIKI STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40 1 $ 2000
20268 SEKISUI VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box 12 $ 500
54208 Seminet Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Reticle Stocker 1 $ 5000
84342 Semitool ST-921R-AA Spin Rinse Dryer 1 $ 1000
84351 Semitool ST-240 Spin Rinse Dryer 1 $ 1000
84364 Semitool PA7230M SRD Rotor 1 $ 200
84365 Semitool A72-20M SRD Rotor 1 $ 200
76610 SHOWA 341 Laboratory Power Supply - 4 channel 1 $ 200
76611 SHOWA ELECTRONICS 511-16 REGURATED DC POWER SUPPLY 1 $ 200
87607 SMC INR-341-59A DUAL CHILLER 1 $ 5000
89968 SMC INR-341-61A Triple Loop Chiller 1 $ 5000
73208 Solitec 5110C Manually loading Photoresist Spin Coater 1 $ 5000
83505 SORENSEN 220 VOLTS POWER SUPPLY 3 $ 200
102623 SPTS Omega 201 Plasma Dry etcher 1 $ 15000
71904 ST Automation test head test head for Eprom U 1835 1 $ 500
71908 ST Automation PTM1 Flash Memory Tester 3 $ 2000
71910 ST Automation MT32SX Flash Memory Test System for 256 MB memory testing 1 $ 5000
78133 ST Automation QT200 Test System 1 $ 5000
78137 ST Automation QT200 Tester System with monitor 1 $ 5000
78138 ST Automation R.S.V. ST Memory Test System Electronic Automation 1 $ 5000
80177 ST Automation QT200 Automated Tester System with monitor 1 $ 5000
80178 ST Automation QT200 Automated Tester System with monitor 1 $ 5000
80179 ST Automation QT200 Automated Tester System with monitor 1 $ 5000
80180 ST Automation QT200 Automated Tester System with monitor 1 $ 5000
80181 ST Automation QT200 Automated Tester System with monitor 1 $ 5000
80182 ST Automation QT200 Automated Tester System with monitor 1 $ 5000
80183 ST Automation QT200 Automated Tester System with monitor 1 $ 5000
80184 ST Automation QT200 Automated Tester System with monitor 1 $ 5000
86281 ST Automation QT200 (spares) boards from qt 200 test system - see attached list 1 $ 5000
95233 ST Automation MT 32 SX Fully Automated Memory Test System for BIST and NAND Memories 1 $ 5000
99969 ST Automation QT200 Automated Tester System with monitor 1 $ 5000
101848 ST Automation MT32SX Automated Flash Memory Testing System 1 $ 5000
102494 ST Automation MT32SX Automated Flash Memory Testing System FOR TESTING 256 MB MEMORY 1 $ 5000
79584 STS (SPTS) 320 PC Reactive Ion Etcher 1 $ 10000
33413 SYNAX SX3100 Handler Ambient/Hot 1 $ 30000
33414 SYNAX SX3100 Handler 1 $ 30000
79888 System General T9600 Universal Device Programmer 1 $ 500
78136 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 1 $ 1000
80089 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 1 $ 1000
76613 Tektronix TDS694C Digital 3 GHz real-time oscilloscope 1 $ 2000
79590 Tektronix TDS 544A Color 4 channel digitizing oscilloscope 1 $ 1000
79597 Tektronix PS 280 DC Power supply 1 $ 100
79599 Tektronix 11801C Digital Sampling Oscilloscope 1 $ 300
79601 Tektronix 2432A Digital Oscilloscope, 2 channel, with GPIB 1 $ 300
2181 TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch 1 $ 10000
21064 TEL TOKYO ELECTRON MB2 730 HT HT CVD SYSTEM, 2 CHAMBER WSi Process 1 $ 80000
21270 TEL TOKYO ELECTRON MB2 730HT CVD SYSTEM, 3 CHAMBER WSi Process 1 $ 100000
54232 Teradyne J994 Memory Tester 1 $ 10000
78361 Teradyne J971SP (Spares) Boards from VLSI test system 1 $ 5000
87615 United Detector Technology, Inc. 40X Laser Power Meter 1 $ 200
84082 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type 1 $ 2000
92468 Varian E11040440 Rev 7 Secondary workstation for implanter 1 $ 2000
95409 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type 1 $ 2000
15619 VERTEQ FLUOROCARBON RD4500 CLASSIC SRD 2 $ 2000
79594 Vision Engineering Dynascope Inspection Microscope 1 $ 2000
80238 Weiss TS130 Thermal shock testing chamber 1 $ 7000

The following list is a list of spare parts for sale, including our stock items and other items of spares which recently came available for purchase.

 
▶   DOWNLOAD EXCEL (.XLSX) SPARES CATALOG LIST  
 
Lot No. Manufacturer Model Description Qty Minimum Bid
4247 ADE 020986-10 259.82 uM thickness standard 1 $ 100
4249 ADE 020986-14 360.01 uM thickness standard 1 $ 200
80194 Advantest BGR-020773 ADVANTEST T5335P PC Board 3 $ 800
80195 Advantest BGR-020853 ADVANTEST T5335P PC Board 1 $ 800
80196 Advantest BGR-020816X02 ADVANTEST T5335P PC Board 2 $ 800
80197 Advantest BGR-020774 ADVANTEST T5335P PC Board 1 $ 800
80198 Advantest BGR-020814 ADVANTEST T5335P PC Board 1 $ 800
80199 Advantest BGR-020771 ADVANTEST T5335P PC Board 1 $ 800
80200 Advantest BGR-020509 ADVANTEST T5335P PC Board 1 $ 800
80201 Advantest BGR-020772 ADVANTEST T5335P PC Board 1 $ 800
80202 Advantest BGR-017577 ADVANTEST T5335P PC Board BGR-017575 2 $ 800
80203 Advantest BGR-019486 ADVANTEST T5335P PC Board 11 $ 800
80204 Advantest BGR-020851 ADVANTEST T5335P PC Board 1 $ 800
80205 Advantest BGR-017578 ADVANTEST T5335P PC Board 2 $ 800
80206 Advantest BGR-017579 ADVANTEST T5335P PC Board 2 $ 800
80208 Advantest BGR-018931 ADVANTEST T5335P PC Board 2 $ 800
80209 Advantest BGR-016794 ADVANTEST T5335P PC Board 2 $ 800
80210 Advantest BGR-016793 ADVANTEST T5335P PC Board 2 $ 800
80293 Advantest BGR-019267 ADVANTEST T5335P PC Board 2 $ 800
80294 Advantest BGR-020900 ADVANTEST T5335P PC Board 4 $ 800
80295 Advantest BGR-019266 ADVANTEST T5335P PC Board 1 $ 800
80296 Advantest BGR-017417 ADVANTEST T5335P PC Board 2 $ 800
80297 Advantest BGR-018824 ADVANTEST T5335P PC Board 7 $ 800
80298 Advantest BGR-018823 ADVANTEST T5335P PC Board 4 $ 800
80299 Advantest BGR-018822 ADVANTEST T5335P PC Board 4 $ 800
80300 Advantest BGR-018125 ADVANTEST T5335P PC Board 1 $ 800
80301 Advantest BGR-020815 ADVANTEST T5335P PC Board 1 $ 800
80302 Advantest Bir-021807 ADVANTEST T5335P PC Board 1 $ 1500
82926 Advantest WUN-H90554AIR ADVANTEST air control unit 1 $ 300
83498 Advantest BGR-016797 ADVANTEST T5335P PC Board (was 80207) 6 $ 800
83499 Advantest BGR-016796 ADVANTEST T5335P PC Board 3 $ 800
83500 Advantest BGR-021096 ADVANTEST T5335P PC Board 2 $ 800
83501 Advantest BGR-020765 ADVANTEST T5335P PC Board 2 $ 500
83502 Advantest BGR-017418 ADVANTEST T5335P PC Board 2 $ 900
83550 Advantest WUN-MONITORBOX ADVANTEST power supply monitoring box, T5335P 2 $ 300
89909 Advantest Hifix for PQFP80 (14 x 20) Hi-fix for Advantest T5371 package type PQFP80 (14 x 20) 1 $ 500
92006 Advantest BGR-016796 ADVANTEST T5335P PC Board 1 $ 800
92007 Advantest BGR-018822 ADVANTEST T5335P PC Board 1 $ 500
92008 Advantest BGR-017418 ADVANTEST T5335P PC Board 1 $ 800
92009 Advantest T5335P Boards from an Advantest T5335P Test system 18 $ 14900
98706 Alcatel ADS 602H Dry Pump 1 $ 2500
84408 AMAT 14046-PE44-1016 VAT Valve 8" 1 $ 600
86305 AMAT 0010-20422 Endura 5500 PVD 8" Shield treatment and cover assembly 1 $ 4000
77153 AMI 885 Screen printer Squeegee box lot 1 $ 500
11579 Applied Materials 9200 (Spares for) IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT 1 $ 9000
84348 Applied Materials Endura Endura 6" process kit, Used, in AMAT box 84-9502-280 1 $ 900
101768 Applied Materials 0010-00557 REV A Heat Exchanger 1 $ 5000
84765 Asyst 1150-V1315S SMIF Load port 150mm 1 $ 4000
95404 Asyst 1150-V1315S SMIF Load port 150mm 1 $ 4000
98713 Baccini Wafer Boats Spares for Baccini solar cell manufacturing line 1 $ 5000
83862 Brooks TT1ENR2-1 Brooks robot controller TT1ENR2-1-TVS-ES-Brooks8 1 $ 200
38385 Canon L450G Wafer handling robot 1 $ 10000
52153 Canon Chuck Tool Chuck Tool for EX3, EX4, i4, i5 1 $ 7000
52162 Canon Bar Mirror Bar Mirror Kit For Canon FPA 3000 series 1 $ 5000
52164 Canon FPA 3000 (Spares) ALS System for FPA 3000 series 1 $ 9000
52174 Canon BH8-1830-01 BG4-6633 TV BACKPLANE CHASSIS / For FPA 3000 series tools for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 10000
52177 Canon BG9-3502, BH8-0672-03 acc-1 Brd 1 $ 5000
52178 Canon BG9-3502, BH8-0672-03 ACC-1 Board 1 $ 5000
52182 CANON Fujitsu Denso BH5-3523 Fujitsu denso Power Supply Unit for Canon FPA3000 series 1 $ 5000
52262 Canon 6736A reticle handling robot for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 1 $ 9000
52263 Canon BH8-1714-01 FM4 Assembly 1 $ 7500
52264 Canon fan assy Fan assembly for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52265 Canon video impedance adapter 5 channel video impedance adapter 1 $ 500
52338 Canon BH8-2017-01 IL3-CD-PCB for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 900
52341 Canon BH8-1980-01 EASRCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 900
52342 Canon BH8-1979-01 EASLCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 1100
52345 Canon BH8-1819-02, BG4-6811 PA/HS Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 1000
52346 Canon BH8-2022-01, BG8-3369, BG4-8680 EXP-CD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 1100
52347 Canon BH8-1938-01 A1-M1-2 board 1 $ 5000
52348 Canon BH8-2065-02, BG4-8805, BG8-3375 CD90-INTLK board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 1500
52359 Canon FPA 3000 series HP-UX Workstation A2615A 9.05 10.20 11.00 11.00 11i 1 $ 9500
52360 Canon Zenith ZPS-250 Multiple voltage power supply 250Watts 1 $ 750
52362 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52364 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52365 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 2 $ 500
52366 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52367 Canon Chino ES-600 Chart Recorder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52371 Canon Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52373 Canon Fuji Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52374 CANON FUJITSU DENSO 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52375 CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52377 Canon Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52379 CANON FUJITSU DENSO 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52380 CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52381 CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52382 CANON FUJITU DENSO 4247-E924 1ch power supply module for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 1 $ 500
52383 Canon Fuji Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52384 Canon Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52385 Canon Fuji Denso 4249-E922 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 0 $ 500
52446 Canon Fujitsu Denso 4249-E922 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52447 CANON Fujitsu Denso 4249-E923 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52448 CANON FUJITSU DENSO 4248-E922 2 channels power supply module for canon fpa 3000 series 1 $ 500
52449 Canon Fuji Denso 4248-E921 2 channels power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52450 Canon Fuji Denso 4248-E921 2 channels power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
52929 Canon Fujitsu Denso 4249-E925 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
53020 CANON Fujitsu denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
53021 Canon Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
53023 Canon Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 500
53032 Canon Omron APR-S Reverse Phase Relay for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 100
53034 Canon BG4-8663 SCSI EXT board Module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 250
53041 Canon spares Wafer Cassette holder for FPA 3000 SERIES iw i4 i5 ex3 ex4 ex5 ex6 1 $ 350
53042 Canon FPA 3000 series Cassette holder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 400
53045 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 3 $ 50
53046 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 50
53047 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 50
53048 Canon BG4-8192-000 Intermediate library control board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 1900
53049 Canon BH8-1768-02 Library Sub Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 900
53050 Canon BH8-1818-01 LD/PD board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1 $ 900
53056 Canon BG9-4757, BH8--1069-01 AF DRV board for Canon FPA 1550 series 1 $ 900
53057 Canon BG9-4760, BG83111, BH8-1071-02 SH/RH board for Canon FPA 1550 1 $ 900
53058 Canon BG9-4761, BG8-3112, BH8-1071-01 SH/RH board FOR CANON FPA SERIES STEPPERS 1 $ 900
53059 Canon BH8-1073-01, BG9-4763, BG8-3114 PA SENSER board 1 $ 900
53060 Canon BG9-4764, BH8-1074-01 PA CCD board for Canon FPA series steppers 1 $ 900
53061 Canon BG9-4762, BG8-3113, BH8-1072-01 PA STAGE board for Canon 1550MarkIV series 1 $ 900
53062 Canon BG9-4758, BG8-3109, BH8-1070-02 SC/RC board for Canon FPA series steppers 1 $ 900
53063 Canon BG9-4759, BG8-3110, BH8-1070-02 SC/RC board for Canon fpa 1 $ 900
53064 Canon BG4-8615, BH8-1752-01 DAMPER CD board FOR CANON FPA 1550 MARK 4 SERIES STEPPER 1 $ 900
53065 Canon BG3-2090, BG8-3484, bh81970-01 M-POS Pcb for Canon FPA 1550 0 $ 900
53066 Canon Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 Pellicle Particle Checker Unit for FPA3000 series stepper 1 $ 6000
53074 Canon FPA 3000 series extended reticle library extended reticle library 1 $ 6000
64277 Canon BG4-6777-A301-03 Wafer Feed Hand 1 $ 7000
80241 CANON BG4-6745 RC CPU from Canon fpa 3000 series stepper computer 1 $ 2000
80242 CANON BG4-7079 PC chassis from Canon FPA series stepper 1 $ 2000
80243 CANON BG4-6746 WF/RC IF from Canon FPA 3000 series stepper computer 1 $ 1000
80248 CANON BG4-8193 RC LB PCB 1 $ 900
80249 CANON BG4-7001 BH8-1837-01 BH8-1837-01 PCB 1 $ 500
80251 CANON BG3-6724A SUB-ASSEMBLY FOR CANON STEPPER 1 $ 1000
80253 CANON LENS MK4 nan 2 $ 1000
84774 Canon Chuck, 8 inch Wafer Chuck for EX3, EX4, i4, i5 1 $ 4500
102059 Canon FPA 3000 SERIES i4 i5 EX3 EX4 EX5 EX6 Spare Parts for Canon FPA-3000 Series 27 $ 1500
83551 Celerity UFC-1660 MFC C2F6 5SLPM 1 $ 350
21122 CLEAN ROOM TABLE CLEANROOM TABLE STEEL CLEANROOM TABLE 1 $ 500
83569 COMPUGRAPHICS CHROME COPY NIKON r1755a TEST RETICLE 1 $ 999
21665 CONDOR HCC15 3A + POWER SUPPLY UNIT FOR KLA 7700 SURFSCAN 1 $ 750
80211 Credence Duo SX PC Credence Duo SX Main PC 2 $ 1500
80269 Credence 671-4283-01 Duo SX ROM Sequencer TPI/PD 1 $ 200
80270 Credence 671-4331-01 DUO SX ROM Sequencer 1 $ 200
80271 Credence 670-9426-05 DUO SX Controller I/O 1 $ 200
80272 Credence 671-0693-04 Duo SX DMA2 Controller 2 $ 200
80273 Credence 671-4043-01 DUO SX Test Controller 2 $ 200
80274 Credence 671-4098-952707 DUO SX Master Clock Dist. 1 $ 200
80275 Credence 671-0951-04 DUO SX Analog I/O Board 3 $ 200
80311 Credence 671-4127-00 Scan Memory 4 $ 200
80312 Credence 671-4359-00 DPAC Parallel Pattern Memory 18 $ 200
80313 Credence 672-4359-00 DPAC Parallel Pattern Memory 1 $ 200
80314 Credence 672-6051-03 Support Module Interface 2 $ 200
80316 Credence 671-4270-03 Capture Processor 2 $ 200
80317 Credence 671-2119-00 Analog Support Air flow Bus Grant 8 $ 200
80318 Credence 671-4155-05 Analog Support Module VHF 1 $ 200
80319 Credence 671-4177-04 Support Module Interface 1 $ 200
80320 Credence 671-4351-00 Intersegment Communications Connector 1 $ 200
81829 Credence 202-2000-00 Credence Duo SX controller 1 $ 200
81864 Credence 671-4098-02 DUO SX Master Clock Dist. 1 $ 200
81866 Credence 671-4635-01 Testhead connector board 1 $ 200
82168 Credence 671-1461-04 Intersegment communications board 1 $ 200
83559 Credence 671-4276-50 PIN card 3 $ 200
83631 Credence 071-1022-01 Duo & Logic 100 Test System Service Manual 1 $ 200
83819 Credence 671-4359-01 DPAC Parallel Pattern Memory 1 $ 200
84099 Credence 671-1376-02 Analog Power Monitor PCB 584-02 1 $ 200
84278 Credence 671-4394-01 Test head connector board 1 $ 200
84279 Credence 671-4270-02 Capture Processor board 1A 1 $ 200
84280 Credence 671-4394-04 Test head connector board 1 $ 200
84281 Credence 672-6051-01 Support Module Interface 1 $ 200
54562 CTI cryogenics CRYOTORR-100 Cryopump 1 $ 900
69855 CTI Cryogenics Cryotorr 100 Cryopump 1 $ 900
70305 EBARA C-1120-008-0001 BALL SCREW, APROX 170 CM LENGTH, FOR EBARA FREX 200 1 $ 1000
70306 Ebara FREX 200 (Spares) PRESSURE SENSITIVE VALVE FOR EBARA FREX 200 2 $ 500
89967 Ebara ET300WS Turbo pump 1 $ 3000
95413 Ebara ET300WS Turbo pump 1 $ 3000
95416 Ebara ET300WS Turbo pump 1 $ 3000
95417 Ebara ET300WS Turbo pump 1 $ 3000
95418 Ebara ET300WS Turbo pump 1 $ 3000
95420 Ebara 306W Turbo pump controller 1 $ 3000
95421 Ebara 305W Turbo pump controller 1 $ 3000
72127 Edwards Speedivalve SPEEDI VALVE 1 $ 150
89969 Edwards D150 Dual GRC unit 1 $ 7000
95559 Edwards iQDP40 Dry Mechanical Pump 1 $ 4000
80368 ENI OEM-6J RF GENERATOR 1 $ 500
83625 ENI HF-3000-50 HARMONIC FILTER ASSY 1 $ 250
84764 Entegris FOUP 300mm FOUP Entegris Green SPFGGN-ANSRK-NNN 1 $ 1000
83739 ESI 44 LASER TRIMMER SPARE PARTS 1 $ 1900
87092 Genmark GB3 Robot 1 $ 3500
52166 Hitachi 545-5515 DC power supply module for CD SEM 1 $ 1000
52167 Hitachi 6280H Power Supply Module 4channels 1 $ 1000
52301 Hitachi 545-5516 7 Channel Power Supply module 0 $ 1000
52312 Hitachi 545-5522 VG board for CD SEM 1 $ 400
52339 Hitachi 545-5521 EVAC PCB FOR HITACHI CD-SEM 1 $ 1000
52340 Hitachi 545-5537 IP-PC2 for cd-sem 1 $ 1000
52343 Hitachi 377-7592 Power Supply Module for CD SEM 1 $ 1000
53054 HITACHI 6280H (SPARES) SORD Computer for cd sem system 1 $ 1500
86278 Hitachi 6280H CONTROL RACK CD SEM (PARTS) 1 $ 4000
102060 Hitachi 6280H Control Rack Boards 12 $ 9000
83583 INFICON 850-200-G1 LEYBOLD IG 3 VACUUM GAUGE CONTROLLER 1 $ 500
86677 InTest Test Head CPIT TEP8 / STFLASH EPROM / 1792 Test Head 1 $ 500
21667 JDS 2214-30 SLQ TT Uniphase LASER FOR KLA 7700 SURFSCAN 1 $ 2000
84000 KLA 750-653120-00C0 Power Line Conditioner / Transformer for KLA 2122 1 $ 2000
84301 KLA 710-658036-20 Alignment Processor board REV C3 1 $ 2000
84302 KLA 710-658041-20 Alignment PRocessor Phase 3 Board REV E0 1 $ 2000
84303 KLA 710-658046-20 PRocessor Board REV E0 1 $ 2000
84304 KLA 710-658177-20 Interpolator phase 3 Board REV F1 2 $ 2000
84305 KLA 710-658172-20 Y Interpolator C,PH3 Board REV J1 2 $ 2000
84306 KLA 710-655651-20 Cornerturn 3 PC board REV C0 1 $ 2000
84307 KLA 710-659412-00 Mass Memory PCB REV C0 1 $ 2000
84308 KLA 710-658232-20 Memory Controller Phase 3 PC board REV H1 1 $ 2000
84309 KLA 710-658086-20 PC Board, REV E0 1 $ 2500
86304 KLA 1007 Chuck, prober, 6" gold chuck assembly 1 $ 750
86672 KLA Tencor 710-661729-00 PC Board, KLA 21XX 1 $ 11000
87642 KLA Tencor 6400 6220 New Cables, box 1 $ 400
34137 KLA -Tencor 7700m p/n 199958 Rev F PCB PSF Driver SFS75 1 $ 750
83562 KLA -TENCOR 770O M CONCAVE MIRROR 201969 1 $ 500
83580 KLA -TENCOR 2xx (Defect Highlighting PC) Defect Highlighting PC 1 $ 2000
83624 KLA -Tencor 8100 (Spares) load lock assembly for CD-SEM 1 $ 1000
21670 KLA Tencor 213780 REV C PCB PFE 4K MASK ASSY SFS 7500 1 $ 750
21671 KLA Tencor 244143 REV B PCB ADC PFE I/F 576 ASSY 1 $ 750
27808 KLA TENCOR 720-07335-000 ADVANTECH COMPUTER ICP-6751 1 $ 700
34140 KLA TENCOR 7700M COMPUTER HARD DISK 1 $ 1000
1691 KLA-TENCOR 259 (spare parts) Reticle Inspection - SPARE PARTS 1 $ 10000
18598 KLA-TENCOR 5xxx Spare Parts Set of Spare Parts from a KLA 5015 1 $ 10000
18599 KLA-Tencor 710-401249-01 Rev F DRIVER BOARD for KLA 5xxx 1 $ 500
18600 KLA-Tencor 710-401249-01 Rev F Driver Board for KLA 5xxx 1 $ 500
18602 KLA-Tencor 750-40426.. 5xxx Spare Part BIT 3 COMPUTER COP for 5xxx Spare Part 1 $ 500
18603 KLA-Tencor 710-401536-00 FOR kla 5XXX ASSY NO 401536 00 ENCODER INTERFACE 1 $ 500
18604 KLA-Tencor 710-401249-00 REV E for kla 5xxx Driver Board for KLA 5xxx 1 $ 500
18605 KLA-Tencor 710-404146-00 REV A for KLA 5XXX ASSY BOARD FOR KLA 5XXX 1 $ 500
18606 KLA-Tencor 750-400159-00 REV A for KLA 5xxx MATROX VIP 1024 for a KLA 5XXX overlay system 1 $ 500
18607 KLA-Tencor 730-400083-00 REV G for a KLA 5xxx PZT CONTROLLER for a KLA 5xxx system 1 $ 500
18608 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx ASSY BOARD for a KLA 5xxx overlay system 1 $ 500
18609 KLA-Tencor 710-400412-00 Rev K PCB for a KLA 5xxx system 1 $ 500
18610 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx PCB for a KLA 5xxx system 1 $ 500
18611 KLA-Tencor 750-400339-00 Rev H from a KLA 5xxx PCB for a KLA 5xxx system 1 $ 500
18612 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system 1 $ 500
18622 KLA-Tencor 750-404260 for KLA 5XXX BIT 3 COMPUTER COP for 5xxx Spare Part 1 $ 500
18632 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system 1 $ 500
18634 KLA-Tencor nan POWER SUPPLY LAMBDA 1 $ 500
18635 KLA-Tencor 851391-101 LH RESEARCH 1 $ 500
27806 KLA-Tencor TFE Gun Controller Gun Controller Chassis Part No 720-05888-000 2 $ 5000
34125 KLA-Tencor 7700m (spares) Mirror Assy Flat 1 $ 500
34127 KLA-Tencor 7700m (Spares) p/n 186392A PCB Controller Handler 1 $ 500
34129 KLA-Tencor 7700m (Spares) p/n 172502-F PCB Universal Handler 1 $ 500
34130 KLA-tencor 7700m (Spares) 18458 Rev B $ CH Motor Control 1 $ 500
34134 KLA-tencor 7700m (Spares) 210617 rev B PCB Filter Optical 1 $ 500
34136 KLA-Tencor 7700m (Spares) p/n 099660 Handler back plane PCB 1 $ 500
34142 KLA-Tencor 7700m and FLX 184527 Rev H Robot parts Assy 1 $ 500
34148 KLA-Tencor 7700m (Spares) p/n 210595 Rev B Optical Filter PCB 1 $ 500
34150 KLA-Tencor 7700m 242163 Rev B PCB ADC-PFE Interface S76 1 $ 500
34152 KLA-Tencor 7700m (spares) p/n 213780 Rev C PCB MASK SFS 1 $ 500
34153 KLA-Tencor 7700m (spares) Fresnel Lens / Mirror 1 $ 500
52151 KLA-Tencor Hamamatsu R1924A Photomultiplier 1 $ 700
53035 KLA-Tencor 2132 Wafer chuck, 8" 1 $ 2000
53227 KLA-Tencor 251739 CH3 PMT OPTICS ASSY AIT2 1 $ 500
83621 KLA-Tencor 8100 (Spares) Loadlock assembly for CD-SEM 3 $ 900
83929 KLA-TENCOR 720-02847-000 MCA Module P/N 720-02847-000 for CD-SEM 81xx 1 $ 300
83930 KLA-TENCOR 720-02964-000B PICOAMP II for KLA 81xx CD SEM 2 $ 400
84054 KLA-TENCOR 8100 (Spares) Motorized slit assembly for CD SEM 2 $ 3000
84091 KLA-TENCOR 113387 4-CHANNEL PWM MOTOR DRIVE ASSY for surfscan 7600 1 $ 500
84092 KLA-TENCOR 655-6500504-00 CHUCK 200 MM(8*),2132 1 $ 1000
77940 MKS 627BX01MCC1B Baratron 1mbar 1 $ 700
87366 MKS 653B-13064 Baratron 1mbar 1 $ 450
101024 MKS Instruments 162-0040K Inline Pneumatic Valve ISO-KF NW 40 flanges 1 $ 450
79968 Muegge MW2009D-260ED Magnetron Head 2.45GHZ 2 $ 1900
82230 Muegge Controller Controller, CAN controller, L/R sync 1 $ 1500
83597 Nitto TT1R2-1 teach pendant for robot TT1R2-1 1 $ 800
70300 Novascan 945-00666-00 HALOGEN LAMP 2 $ 375
83570 Leitz 512815.20 Trinolular Microscope for KLA 51xx with Olympus Eyepieces 1 $ 1000
21666 ONE AC CORP FMV 321S TRANSFORMER FOR KLA 7700 SERIES PSU 1 $ 1000
21123 ORIEL 68805 POWER SUPPLY 40-200 WATTS FOR MERCURY ARC LAMP 1 $ 2000
83620 ORIEL 68805 ULTRAVIOLET LIGHT - LAMP HOUSING 1 $ 2500
53053 Rorze RR304L90 Wafer handling robot, with 5 ceramic robot blades 1 $ 4000
86303 Sankei Giken TCW-12000 CV Process Module Chiller 1 $ 7000
52191 Seiko Seiki STP 301H Turbo Pump Controller Unit 1 $ 1500
69878 SEIKO SEIKI STP 1000C TURBO PUMP TMP 1000C 250 ISO-K/KF40 1 $ 6000
71921 Seiko Seiki SCU-1000C Controller for Seiko Seiki STP 1000C Turbo pump 1 $ 2500
20268 SEKISUI VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box 12 $ 60 USD each
78169 Sensarray 1530D-8-0023 Process Probe Instrumented Wafer 1 $ 1000
78170 Sensarray 1530D-8-0023 Process Prober Instrumented Wafer 1 $ 1000
53268 Sorensen SS200-S0120 Power Supply Megatest Part number 113849 3 $ 400
84297 Staubli 308998-001 RX90 robot controller 1 $ 5000
84022 Sun Ultrasparc 60 Unix computer from Teradyne J994 1 $ 2000
70302 SURPASS PTC 3/8 NU PRESSURE SENSOR FOR EBARA FREX 200 1 $ 500
87367 SVG 99-46450-01 9200SE SVG ASML 90 track Z-robot 1 $ 750
21135 TEL TOKYO ELECTRON UPGRADE FOR SCCM OXIDE TOOL KIT FOR UPGRADE FOR SCCM OXIDE TOOL 1 $ 2000
86253 TEL TOKYO ELECTRON 2985-429208-W4 ACT 12 2985-429208-W4 ADH SUB UNIT BASE ASSY ADHESIVE MODULE 1 $ 7000
78168 Teradyne 950-656-00 rev B PCB from test system 1 $ 3000
80215 Teradyne 950-662-02/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 60619 9341 2 $ 750
80216 Teradyne 953-003-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 7221 9720 1 $ 750
80217 Teradyne 950-542-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 30420 9208 1 $ 750
80218 Teradyne 950-541-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 53420 9208 1 $ 750
80219 Teradyne 950-421-01/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61819 9517 1 $ 750
80220 Teradyne 950-713-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 2220 9702 Z18XX 1 $ 1000
80221 Teradyne 950-220-02 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 42419 9251 1 $ 750
80222 Teradyne 950-777-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 46500L 9513 1 $ 1000
80223 Teradyne 950-572-04/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61219 9345 2 $ 750
80224 Teradyne 950-569-03/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61419 9314 2 $ 750
80225 Teradyne 950-212-03/B Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /B 55119 9328 1 $ 2000
80226 Teradyne 950-687-01/D Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /D 9752 L200 1 $ 1000
80227 Teradyne 950-561-04/A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60620 9547 1 $ 1250
80321 Teradyne 880-751-10 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 61720 9521 1 $ 1100
80322 Teradyne 950-421-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 72019 9517 1 $ 750
80323 Teradyne 950-574-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 71620 8541 Z18xx 1 $ 1200
80324 Teradyne 950-568-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 73419 9710 TW568 REV A 1 $ 1200
80325 Teradyne 950-566-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 60520 9448 2 $ 750
80327 Teradyne 950-558-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 64620 9509 1 $ 1000
80328 Teradyne 950-560-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 93610 9918 1 $ 750
80329 Teradyne 950-562-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 75019 9226 2 $ 1000
80330 Teradyne 950-681-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 74920 9319 1 $ 1000
80331 Teradyne 950-556-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 80419 94222 1 $ 1000
80332 Teradyne 950-217-04 Teradyne J971 PCB, 1 $ 1000
81836 Teradyne 961-129-01 Teradyne J971 test system power control panel 1 $ 150
82177 Teradyne 405-097-00 Power Supply 150 Amp, 230 VAC 4 $ 600
82231 Teradyne 961-061-00 Teradyne J971 Power Supply 1 $ 500
82232 Teradyne 961-128-00 Teradyne J971 Power Supply 1 $ 500
82925 Teradyne 405-155-00 Power Supply 150 Amp, 230 VAC 1 $ 750
83497 Teradyne 405-142-00 Power Supply 150 Amp, 230 VAC 1 $ 750
83561 Teradyne 405-167-00 Power Supply 8 Amp, 28V 1 $ 600
83566 Teradyne 405-096-00 Power Supply 150 Amp, 230 VAC (S233) 2 $ 600
84840 Teradyne 880-751-10 /E Precision Measurement unit PCB, REV E 1 $ 300
70303 THK LMT40UUM+489LFM LEADSCREW FOR EBARA FREX 200 2 $ 1000
84078 UNIPHASE 1103P-0187 LASER 1 $ 100
72133 Varian E17015570 SCANACT,COUNTER WEIGHT 2 $ 250
72134 Varian E11002430 WAFER COOLING CONTROLLER 2 $ 1500
72136 Varian E17064301 BEAM SHIELD 1 $ 300
72138 Varian E17032320 CHASIS GUIDE M FRME ESSERV 2 $ 100
72140 Varian E11001320 REV B TARGET, FOCUS, FARADAY 1 $ 700
72141 Varian E11002183 PEDESTAL ASSY,MULTI 150/200MM 1 $ 300
72142 Varian E11037750 REV 5 X',SOURCE HOUSNG,EXTRACTION MANIPULATOR 1 $ 6000
72143 Varian E17026720 PLATE,GROUND,GRAPHSCAN-SCAN 1 $ 300
72144 Varian E17026680 PLATE,GROUND,GRAPHSCAN 1 $ 200
72145 Varian E17101600 COVER,DUAL VAPORIZER 1 $ 300
84082 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type 1 $ 2000
92387 Varian E11030450 REV 3 VERT SCAN ACTUATOR 2 $ 2000
92468 Varian E11040440 Rev 7 Secondary workstation for implanter 1 $ 500
95409 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type 1 $ 2000
102593 Various Vacuum Valves Various vacuum valves (MKS, Varian, Fuji Seiki) 10 $ 1000
101025 VAT 14040-je24-0004 HV Gate Valve 1 $ 1000
101026 VAT 14040-je24-0004 HV Gate Valve 1 $ 1000
101027 VAT 14040-je24-0004 HV Gate Valve 1 $ 1000
83826 VEXTA UPH569H-B STEPPING MOTOR 1 $ 200
82219 WAVECREST dts-2070c (-52) Credence Duo Wavecrest digital time controller 2 $ 750
 
 
 

Since the last 22 years, we have continuously run world-wide marketing campaigns to promote Semiconductor, Assembly, SMT, Test , LED, Flat-Panel-Display and Solar equipment for sale, using many sales channels including our global network of contacts built up over the years, our experienced sales team and our advanced software algorithms and database. By use of advanced marketing technology, we enable a win-win both for Fabsurplus and our customers by maximising the cash return from the sale of surplus assets. Contact me now to learn about how we can help you through our Equipment Remarketing Program.



Thanks so much for your kind attention, and we look forwards to getting your equipment requests and lists of surplus tools for sale soon.

Yours sincerely,

SDI Fabsurplus 20 years

SDI Fabsurplus LLC

Stephen Howe
Company Owner
email: info@fabsurplus.com
Mobile:(USA) +1-830-388-1071
Mobile:(Italy) +39-335-710-7756
Skype: stephencshowe


WWW.FABSURPLUS.COM
Linkedin: https://www.linkedin.com/company/sdi-fabsurplus
Twitter: https://twitter.com/fabsurplus
Instagram: www.instagram.com/fabsurplus
Facebook: www.facebook.com/fabsurplus
 
 
 
Our mailing address is:
SDI Fabsurplus LLC - 1001 S.Main Ste. 3, Boerne, Texas, 78006 - USA

 
Want to change how you receive these emails?
You can unsubscribe from this list