fabsurplus.com

List of 15 equipment available for sale at fabsurplus.com

The following are the items available for sale related to 15 at SDI fabsurplus.com. To inquire about the 15 equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of 15 items.



SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
109637 Advanced Energy Apex 1513 Generator SPARES 1 inquire
109293 Advanced Energy APEX-1513 RF GENERATOR Spares 23 as is where is
109294 Advanced Energy APEX-1513 RF GENERATOR Spares 1 as is where is
109295 Advanced Energy APEX-1513 RF GENERATOR Spares 1 as is where is
80301 Advantest BGR-020815 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
109638 AE (Advanced Energy) Apex 1513 Generator SPARES 1 inquire
109639 AE (Advanced Energy) Apex 1513 Generator SPARES 1 inquire
109640 AE (Advanced Energy) Apex 1513 Generator SPARES 1 inquire
109794 AE (Advanced Energy) Pinnacle 15k Generator SPARES 1 inquire
109795 AE (Advanced Energy) Pinnacle 15k Generator SPARES 1 inquire
76605 Agilent / Verigy / Keysight 41501B SMU and Pulse Generator Expander 2 units TEST 01.05.2001 1 as is where is immediately
18869 Agilent / Verigy / Keysight E4915A Crystal impedance LCR meter Electronics Test and Measurement 1 as is where is immediately
108725 ALCATEL 5150CP Turbo Molecular Vacuum Pump PUMP 2 as is where is immediately
111407 AMAT 0010-28715 ESC Heater for TXZ 300mm 1 as is where is immediately
110879 Applied Materials 0090-00915 Megasonice progammable level sensor - CMP Cleaner Spares 5 inquire
110897 Applied Materials 0015-77174 Brush wafer roller motor pulley - CMP Cleaner Spares 5 inquire
110901 Applied Materials 0015-77287 Pulley Driver - CMP Cleaner Spares 5 inquire
110808 Applied Materials 0010-77153 Wet Robot Assy - CMP Polisher and Lower Mirra Spares 5 inquire
109534 Applied Materials 990-004763-015 Pad Con Analog Pneumatic Unit Spares 1 as is where is immediately
109535 Applied Materials 990-004763-015 (Upgrade) Upgrade for Pad Con Analog Pneumatic Assembly Spares 1 as is where is immediately
106210 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1998 1 as is where is immediately
110820 Applied Materials 0190-77015 Pad cond rotation motor (Yaskawa SGM-01U3B4CL) - CMP Polisher and Lower Mirra Spares 5 inquire
106219 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1999 1 as is where is immediately
106220 Applied Materials 0190-20015 REV D VALVE / MANIFOLD Spares 01.06.1998 1 as is where is immediately
110831 Applied Materials 990-004763-015 Pad Cond Analog Board - CMP Polisher and Lower Mirra Spares 5 inquire
106227 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1999 1 as is where is immediately
71933 Applied Materials 0150-21344 CHAMBER D INTERCONNECT EMC COMPLIANT spares 31.05.1999 1 as is where is immediately
84765 Asyst 1150-V1315S SMIF Load port 150mm for Lam 4620 150 MM 1 as is where is immediately
95404 Asyst 1150-V1315S SMIF Load port 150mm for Lam 4620 150 MM 1 as is where is immediately
111225 AXCELIS 17031590 INSUL ES QUAD, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111292 AXCELIS 170150707 SHLD (2) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111314 AXCELIS 170151678 BLOCK (4) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111315 AXCELIS 170150706 BLOCK (3) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111316 AXCELIS 170150704 BLOCK (1) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111319 AXCELIS 170151677 LINER HORIZONTAL AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111320 AXCELIS 170150709 LINER (3) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111324 AXCELIS 170150703 LINER (4) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111069 Axcelis 170156358 SCREW SHOULDER, SUS - AXCELIS HE3 Spares 5 inquire
111070 Axcelis 170154476 ARC CHMBR SPACER, SUS - AXCELIS HE3 Spares 5 inquire
111326 AXCELIS 170150705 BLOCK (2) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111071 Axcelis 170158596 ARC CHMBR SPACER, SUS - AXCELIS HE3 Spares 5 inquire
111072 Axcelis 17S1597 FEEDTHRU 11 ESHOWER, ALU, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111333 AXCELIS 170150708 LINER (1) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111336 AXCELIS 170153782 INSERT GRAPHITE SCE FLANGE - AXCELIS HE3 Spares 5 inquire
111337 AXCELIS 170151676 LINER L SHAPE HORIZONTAL AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111082 Axcelis 170093650R15 CATHODE ASSY TUNGSTEN ELS, 15MM - AXCELIS HE3 Spares 5 inquire
110597 Binder FDL 115 Safety Drying Chamber for solvent, RT up to +300°C, Volume inside 115 Liter Facilities 1 as is where is immediately
77154 Cam York ST-17-08-153-01-154-01-0-00-C010(1) Centrifual Blower Motor 1/8hp 220V 0.65A 30 RPM Spares 1 as is where is immediately
109099 Canon BY8-4615-0E0 Canon FPA3000 EX3 Maintenance Guide Manual 01.06.1996 1 as is where is immediately
80253 Canon FPA1550 MK4 (Spares) Targetting lenses for Canon Stepper qty 2 SPARES 31.05.1995 2 as is where is immediately
84412 CANON FPA 1550 Mark IV (Spares) Wafer transfer arm INL-WS1 for CANON FPA 1550 MARK 4 150 mm 1 as is where is immediately
110002 Comdel CD-1500 Generator SPARES 1 inquire
109389 COMET 300159 RF GENERATOR Spares 1 as is where is
21665 CONDOR HCC15 3A + POWER SUPPLY UNIT FOR KLA 7700 SURFSCAN SPARES 31.01.1996 1 as is where is immediately
106930 Credence 671-4155-05 Analog Support Module VHF PCB Spares 01.06.1999 1 as is where is
80318 Credence 671-4155-05 Analog Support Module VHF PCB for Credence Duo Tester Spares 01.06.1997 1 as is where is immediately
109395 DAIHEN ATP-15B RF GENERATOR Spares 2 as is where is
110745 Disco DTU 152 Water Temperature Controller Unit Assembly 01.06.2016 5 as is where is
110994 DNS AMD31-15-12-3 CKD VALVE Spares 5 inquire
83636 ELCO CO. LTD K50A-15 POWER SUPPLY 15V3.4A 3 as is where is immediately
108954 Empak PH9150 Wafer Transportation Box Spares 150 MM 26 as is where is
108955 Empak PH9150 Wafer Transportation Box 6" - CLEANED Spares 150 MM 26 as is where is immediately
77091 Enidine MB21539 Shock Absorber Spares 1 as is where is immediately
108958 Entegris A72-40MB-0215 Teflon Carrier 4" SPARES 100 MM 57 as is where is immediately
83936 ESI 41506 Bridge Calibrator PCB for ESI 44 LASER TRIMMER Spares 1 as is where is immediately
83515 Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer Facilities 31.05.2004 1 as is where is immediately
80169 Festo 150857 SME-8-S-LED-24 Proximity switch 01.12.2012 1 as is where is immediately
95574 FSI Polaris 3500 (Spares) 294157-200 REV C PCB, MODULE DRIP AND GUARD INPUTS Spares 1 as is where is immediately
83903 GACHOT DN 15-10 STAINLSS STEEL BALL VALVE Spares 2 as is where is immediately
96543 Gaertner L115C-8 Ellipsometer, cassette to cassette 100-200 mm 28.02.1995 1 as is where is immediately
110783 Giant Force GTH-150-40-CP-SD Temperature and Humidity Chamber Reliability 01.02.2023 1 as is where is immediately
110776 Highmax SHM-150 Wafer mounter 1 as is where is immediately
52166 Hitachi 545-5515 DC power supply module for CD SEM spares 1 as is where is immediately
110323 Huttinger PFM 1500 A Match SPARES 1 inquire
13025 IKO LWES 15 C1 R460 S2 Linear way with single bearing block,set of 4 Spares 4 as is where is immediately
109186 JEOL JFS-9815 Focused Ion Beam System 200mm 1 as is where is
109597 Karl Suss MA150e Mask Aligner with TSA 150 mm 01.06.2007 1 inquire
108073 Karl Suss MA150 Mask Aligner 125 mm 1 as is where is
99394 KARL SUSS MA 150 Mask aligner (For spares use) 150 mm 1 as is where is immediately
110699 Karl Suss MA 150 Mask Aligner 150 mm 1 as is where is immediately
53026 KLA 715-023506-00 Complete lead screw and stepping motor for KLA 2xx reticle inspection system spares 1 as is where is immediately
18603 KLA-Tencor 710-401536-00 FOR kla 5XXX ASSY NO 401536 00 ENCODER INTERFACE Spares 31.05.1992 1 as is where is immediately
18606 KLA-Tencor 750-400159-00 REV A for KLA 5xxx MATROX VIP 1024 for a KLA 5XXX overlay system Spares 31.05.1992 1 as is where is immediately
83931 KOKUSAI M 152 WRL THERMO COUPLE 11 as is where is immediately
109436 KYOSAN 15Z-S1 RF GENERATOR Spares 1 as is where is
109437 KYOSAN 15ZI-M RF GENERATOR Spares 2 as is where is
109439 KYOSAN HPK15ZD RF GENERATOR Spares 1 as is where is
109441 LAM 832-038915-103 RF GENERATOR Spares 1 as is where is
109442 LAM 832-038915-203 RF GENERATOR Spares 1 as is where is
109446 LAM 853-085375-015 RF GENERATOR Spares 1 as is where is
111036 Lam Research 716-801568-002 WIN, QTZ - 2300 Flex Spares 5 inquire
111044 Lam Research 716-801568-002 WIN, QTZ - 2300 Star-T Spares 5 inquire
111052 Lam Research 716-801568-002 WIN, QTZ - 2300 Kiyo Spares 5 inquire
111053 Lam Research 716-801568-002 WIN, QTZ - 2300 Versys Metal Spares 5 inquire
83570 Leitz 512815 / 2 Microscope for KLA 51xx with olympus eyepieces 512 815/20 31.05.1995 1 as is where is immediately
108840 LEYBOLD-HERAEUS Turbotronik NT 150/360 Turbomolecular Vacuum Pump Controller PUMP 1 inquire
108841 LEYBOLD-HERAEUS Turbovac 150 Turbo Pump, 2ea Available PUMP 1 inquire
108842 LEYBOLD-HERAEUS Turbovac 150CSV Turbo Pump, 2ea Available PUMP 1 inquire
109453 MKS AX3151 Remote Plasma Cleaner Spares 2 as is where is
74164 MKS 154-0100P High Vacuum Valve, NEW spares 31.12.2005 1 as is where is immediately
108767 MOSAID MS4155 Memory Test System TEST 1 as is where is immediately
93103 NGR NGR2150 E-beam wafer inspection 300 MM 1 as is where is
108189 NIDEC Im15 Wafer inspection 1 as is where is
108095 Nikon R1505A test reticle spares 2 as is where is immediately
98484 Nikon ECLIPSE L150 Microscope 100/150mm 1 as is where is immediately
98485 Nikon Optiphot 150 Microscope Inspection Station 100/150mm 3 as is where is immediately
111233 NISSIN SOK30-2015 Gas Nozzle - 2300 2300 (Nissin) Spares 5 inquire
109498 NPP NPG-15KM RF GENERATOR Spares 10 as is where is
111400 Olympus KLA 1500 LCD Cold light source with ring light and Adapter ring for different microscope sizes Assembly 4 as is where is immediately
83823 ORIENTAL MOTOR 4GK15K MOTOR ELECTRIC 1 as is where is immediately
106827 Rorze RR701L1521-3A3-111-3 Dual arm Atmospheric wafer handling robot NA 1 as is where is immediately
106828 Rorze RR713L1521-3A3-E13(E11)-1 Dual arm Atmospheric wafer handling robot 200 mm 1 as is where is immediately
106829 Rorze RR717L1521 Dual arm Atmospheric wafer handling robot 300mm 1 as is where is immediately
106830 Rorze Wafer sorter with RR717L1521 robot wafer sorter for 300mm wafer 300mm 3 inquire immediately
98490 Rorze RR701L1521-3A3-111-2 Dual arm Atmospheric wafer handling robot 200 mm 1 as is where is immediately
106188 Rorze RR713L1521-3A3-E11-0 Dual arm Atmospheric wafer handling robot with controller Spares 1 as is where is immediately
106420 Rudolph NSX 115 Automated Defect Inspection 200 mm 1 as is where is immediately
100709 Schroff PSM 115 Power Supply Unit Spares 01.05.2007 10 as is where is immediately
110466 Semco HVS 1500 Controller Miscellaneous SPARES 1 inquire
77189 Semco 156 MM WAFER BOAT Solar Wafer (156mm) Quartz Rack/Holder for a Furnace 156mm 01.06.2010 6 as is where is immediately
78169 Sensarray 1530D-8-0023 Process Probe Instrumented Wafer 200 mm 01.05.2003 1 as is where is immediately
78170 Sensarray 1530D-8-0023 Process Prober Instrumented Wafer 200 mm 01.07.2003 1 as is where is immediately
110504 SET SET 1500 Match SPARES 1 inquire
110505 SET SET 1500 Match SPARES 1 inquire
110506 SET SET 1500 Match SPARES 1 inquire
83548 Shindengen SDC05150G 5V 150 A Regulated power supply, Advantest T5335P Spares 1 as is where is immediately
77157 SMC ZX1101-K15LZB-D21L-X121 Vacuum GENERATOR ZXF35 Spares 1 as is where is immediately
84079 SMC CDY1S15H TESTED 01.07.1994 2 as is where is
106913 SUSS Microtec MA150 (Spare Parts) spares 1 as is where is 2 weeks
106954 Suss MicroTec (Karl Suss) MA150 Mask Aligner 150 mm 01.06.2004 1 as is where is immediately
106955 Suss MicroTec (Karl Suss) MA150 Mask Aligner 150 mm 01.06.1998 1 as is where is immediately
106941 SVG Thermco 168150-002 REV 3 S13 RELAY BOARD PCB Spares 1 as is where is immediately
82925 Teradyne 405-155-00 Power-One International Series DC Switching Power Supply, I/P 230VAC/23A, O/P 3.3V/35A / 28V/8.6A / 28V/8.6A / 15V/16A / 15V/16A Spares 01.10.1997 1 as is where is immediately
80266 TESCOM 150 REGULATORS PRESSURE 3 as is where is immediately
84245 THK LWHS15 LINEAR WAY WITH SINGLE BEARING Spares 3 as is where is immediately
84246 THK RSR 15 LINEAR WAY WITH 7 BEARINGS Spares 1 as is where is immediately
83831 TOKYO ELECRON 015 RELAY SPARES 1 as is where is immediately
84370 Tylan MDVX-015 Throttle Valve, KF40, with vexta motor driver Spares 1 as is where is immediately
111418 ULTRATECH 1500/a500 MVS Stepper 1 as is where is immediately
111123 Varian E17298153 Plate, Center, 90 Deg Magnet Spares 5 inquire
72133 Varian E17015570 SCANACT,COUNTER WEIGHT Spares 2 as is where is immediately
108893 VEECO AP-150 Automtic Four Point Probe, for up to 6" Wafers 150 MM 1 as is where is 2 weeks
109614 Yield Engineering YES-15 HMDS Vapor Prime Oven 1 inquire
109617 Yield Engineering 15F HMDS Vapor Prime Oven 1 inquire
109619 Yield Engineering 15 HMDS Vapor Prime Oven 150 mm 1 inquire


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry