fabsurplus.com

List of 90 equipment available for sale at fabsurplus.com

The following are the items available for sale related to 90 at SDI fabsurplus.com. To inquire about the 90 equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of 90 items.



SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
109333 Advanced Energy PDX-900-2V RF GENERATOR Spares 7 as is where is immediately
109334 Advanced Energy PDX-900-2V RF GENERATOR Spares 6 as is where is immediately
109335 Advanced Energy PDX-900-2V RF GENERATOR Spares 3 as is where is immediately
109336 Advanced Energy PDX-900-2V RF GENERATOR Spares 9 as is where is immediately
109337 Advanced Energy PDX-900-2V RF GENERATOR Spares 1 as is where is immediately
80294 Advantest BGR-020900 ADVANTEST T5335P PC Board Spares 4 as is where is immediately
82926 Advantest WUN-H90554AIR ADVANTEST air control unit Spares 1 as is where is immediately
18870 AGILENT 03577-90212 AGILENT 3571A network analyzer service manual 1 as is where is
108727 ALPHASEM Swissline 9006 (Spares) Automatic Epoxy Die Bonder, Parts Tool Only 200 mm 01.04.1993 1 as is where is immediately
83669 AMAT 0190-24834-002 MFC Celerity ufc-8165 1L H2 3 as is where is immediately
77090 AMI B390.05 belt 1 as is where is
110849 Applied Materials 0090-00963 UPA Pressure Transducer - CMP HEAD Spares 5 inquire
110853 Applied Materials 0190-77116 Flexible disk - CMP HEAD Spares 5 inquire
110862 Applied Materials 0190-38704 SMC2000 - Node 24 - CMP Cleaner Spares 5 inquire
110870 Applied Materials 0190-77181 Brush Position Roller Servomotor Scrubber - CMP Cleaner Spares 5 inquire
110871 Applied Materials 0190-77182 Scrubber Brush Servomotor - CMP Cleaner Spares 5 inquire
110872 Applied Materials 0190-77212 Output Station Servo Motor - CMP Cleaner Spares 5 inquire
110874 Applied Materials 0190-77200 SRD Servo Motor - CMP Cleaner Spares 5 inquire
110877 Applied Materials 0090-77173 Wafer Present Switch - CMP Cleaner Spares 5 inquire
110879 Applied Materials 0090-00915 Megasonice progammable level sensor - CMP Cleaner Spares 5 inquire
110880 Applied Materials 0090-00916 Megasonic Tank High Level Switch - CMP Cleaner Spares 5 inquire
110881 Applied Materials 0090-00917 Megasonic Tank Level Level Switch - CMP Cleaner Spares 5 inquire
110882 Applied Materials 0090-77239 Harness, H202 HH Level Sensor - CMP Cleaner Spares 5 inquire
110883 Applied Materials 0090-77240 Harness, H202 High Level Sensor - CMP Cleaner Spares 5 inquire
110884 Applied Materials 0090-77241 Harness, H202 Low Level Sensor - CMP Cleaner Spares 5 inquire
110885 Applied Materials 0090-77242 Harness, H202 LL Level Sensor - CMP Cleaner Spares 5 inquire
110887 Applied Materials 0090-77203 Shuttle Wafer Presence Switch - CMP Cleaner Spares 5 inquire
109352 Applied Materials 0090-05530 RF GENERATOR Spares 1 as is where is
110888 Applied Materials 0090-77179 Gripper sensor harness, arm 1 - CMP Cleaner Spares 5 inquire
109353 Applied Materials 0090-05530 RF GENERATOR Spares 1 as is where is
110889 Applied Materials 0090-77180 Gripper sensor harness, arm 2 - CMP Cleaner Spares 5 inquire
110890 Applied Materials 0090-77181 Gripper sensor harness, arm 3 - CMP Cleaner Spares 5 inquire
110891 Applied Materials 0090-77182 Gripper sensor harness, arm 4 - CMP Cleaner Spares 5 inquire
110892 Applied Materials 0090-77183 Gripper sensor harness, arm 5 - CMP Cleaner Spares 5 inquire
110894 Applied Materials 0090-00861 Harness, Wafer Present Switch - CMP Cleaner Spares 5 inquire
110902 Applied Materials 0190-77502 Vessel, Pressure, 2-Chamber - CMP Cleaner Spares 5 inquire
110903 Applied Materials 0190-77397 Chem Vessel, 2.4 Liter - CMP Cleaner Spares 5 inquire
110904 Applied Materials 0190-77393 Bleed Valve Manifold - CMP Cleaner Spares 5 inquire
110907 Applied Materials 0190-36511 Devicenet I/O Block Specification - CMP Cleaner Spares 5 inquire
110917 Applied Materials 0190-06673 Fabs Robot Assy - CMP FABS Spares 5 inquire
110928 Applied Materials 0100-09054 Applied Material AIO Board - CMP controller Spares 5 inquire
110931 Applied Materials 0190-14372 Pad Cond Driver SGDA-01AS - CMP controller Spares 5 inquire
110942 Applied Materials 0190-03358 AMAT Power supply SP664 - CMP controller Spares 5 inquire
111055 Applied Materials 0200-01903 INSULATOR PEDESTAL QUARTZ 300MM PCII - PC-XT Spares 5 inquire
110807 Applied Materials 0240-31390 HCLU Load Cup Assy - CMP Polisher and Lower Mirra Spares 5 inquire
110810 Applied Materials 0190-14344 NSK Motor/driver Assy - CMP Polisher and Lower Mirra Spares 5 inquire
109534 Applied Materials 990-004763-015 Pad Con Analog Pneumatic Unit Spares 1 as is where is immediately
109535 Applied Materials 990-004763-015 (Upgrade) Upgrade for Pad Con Analog Pneumatic Assembly Spares 1 as is where is immediately
110815 Applied Materials 0190-17083 Platen Polish Bearing - CMP Polisher and Lower Mirra Spares 5 inquire
110817 Applied Materials 0190-77114 Yaskawa Cross Servo Motor Model: SGM-04UWB4L - CMP Polisher and Lower Mirra Spares 5 inquire
106210 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1998 1 as is where is immediately
110819 Applied Materials 0190-77016 Pad cond sweep motor (Yaskawa SGM-01U3B4L) - CMP Polisher and Lower Mirra Spares 5 inquire
110820 Applied Materials 0190-77015 Pad cond rotation motor (Yaskawa SGM-01U3B4CL) - CMP Polisher and Lower Mirra Spares 5 inquire
106214 Applied Materials 0090-20042 REV D ASSY 3 WAY VALVE Spares 01.06.1999 5 as is where is immediately
110823 Applied Materials 0190-16236 Wet robot -Wrist Motor - CMP Polisher and Lower Mirra Spares 5 inquire
110824 Applied Materials 0190-16235 Wet robot - Shoulder Motor - CMP Polisher and Lower Mirra Spares 5 inquire
110825 Applied Materials 0190-17944 Wet robot -Z-Axis (Up and Down Motion) Motor Assembly - CMP Polisher and Lower Mirra Spares 5 inquire
106219 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1999 1 as is where is immediately
106220 Applied Materials 0190-20015 REV D VALVE / MANIFOLD Spares 01.06.1998 1 as is where is immediately
110829 Applied Materials 0090-77110 ISRM Cable (to slip ring) - CMP Polisher and Lower Mirra Spares 5 inquire
110831 Applied Materials 990-004763-015 Pad Cond Analog Board - CMP Polisher and Lower Mirra Spares 5 inquire
110833 Applied Materials 990-004765-107 Digital Pneutronics Board (Normally Open) - CMP Polisher and Lower Mirra Spares 5 inquire
106226 Applied Materials 0090-20042 REV D ASSY 3 WAY VALVE Spares 01.06.1999 1 as is where is immediately
110834 Applied Materials 990-004765-104 Digital Pneutronics Board (Normally Close) - CMP Polisher and Lower Mirra Spares 5 inquire
106227 Applied Materials 0190-20015 REV E GAS LINE NO. 3 PRECLEAN CHAMBER REACTIVE PR Spares 01.06.1999 1 as is where is immediately
110844 Applied Materials 0190-77482 Head Sweep Motor assy - CMP HEAD Spares 5 inquire
110617 Applied Materials ® 0190-33289 RTP CHAMBER LAMP spares 183 as is where is immediately
81827 ASM 2890224-21 Converter DTC Spares 1 as is where is immediately
111343 ASML XT-1900-Gi Immersion Lithography System 300 mm 01.06.2009 1 as is where is immediately
111224 AXCELIS 17287690 BALL SEAL, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111225 AXCELIS 17031590 INSUL ES QUAD, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111229 AXCELIS 17170590 REPELLER INSULATOR ELS/IAS, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111294 AXCELIS 17270090 BLOCK (3) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111301 AXCELIS 17270190 BLOCK (1) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111308 AXCELIS 17182590 STRIKE PLATE (3) DISK,, GPH, CG - AXCELIS HE3 Spares 5 inquire
111087 Axcelis 17230090 ARC SLIT T15 W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
83589 Beckhoff BK9000 Ethernet interface module Spares 1 as is where is immediately
83594 Beckhoff KL9050 PLC Module 1 as is where is immediately
84213 Beckhoff KL9020 Ethernet interface Spares 2 as is where is immediately
108800 BIO-RAD ECN4900PC Profilers, 2ea Available - Parts Only 1 inquire
18865 EDWARDS A529-80-905 QMKII dry pumping system 2 as is where is
106899 ESI ELECTRO SCIENTIFIC INDUSTRIES 146900-01 REV A Lower Pneumatic Control Box for ESI 9830 spares 01.09.2007 1 as is where is 2 weeks
18849 FSI 906498-100 FSI CHEM FILL Dec scotland system manual and print 1 as is where is
18850 FSI 905403-001 Print and Product Structures (Poly) Mercury MP 1 as is where is
18851 FSI 907575-100 Mercury MP spray processing system operation and maintenance manual manual 01.05.1994 1 as is where is immediately
18854 FSI 906496-100 Bit bus node installation system requirement and guidelines 1 as is where is
18855 FSI 906487 Chemblend 350 operation and maintenance manual 2 as is where is
18856 FSI 905521 Model 1000 CDM operations and maintenance manual 1 as is where is
18860 FSI 903943-200 Heated recirculations operations and maintenance manual 1 as is where is
18861 FSI 906488-100 Model 1000 CDM operations and maintenance manual 1 as is where is
18863 FSI 903893-200 Helios 52 di water meter operation and maintenance manual 2 as is where is
27829 FSI 904602-200 Manual 1
27830 FSI 906496-100 Manual 1
27874 FSI 907051-100 Excalibur ISR Operation and Maintenance Manual 1
108751 GCA TROPEL 9000 Wafer Flatness Analyzer 1 as is where is
108568 HITACHI LS9000 Wafer Surface Inspection System 300mm 01.06.2010 1 as is where is immediately
106665 HITACHI LS9000 Wafer Surface Inspection 300 mm 01.06.2010 1 as is where is
18925 HITACHI 131-9008-1 Model U-3210 / U 3410recording spectrophotometer available commands 1 as is where is
18926 HITACHI 131-9007-1 Model U3210 / U3410 Recording spectrophotometer operating procedure 1 as is where is
82181 hps mks 90 degree flange HPS MKS Stainless Steel VACUUM FITTING 1 as is where is immediately
106191 KLA 900-01003-120 Assy. Software System 8xxx V3.1.2 Spares 01.06.2000 1 as is where is immediately
18873 KLA-TENCOR 990-039410-00 210 e-series theory 1 as is where is
18874 KLA-TENCOR 905-664046-000 25x2 analysis workstation 1 as is where is
108704 Lam Research 490 Autoetch Polysilicon Dry Etcher 150 mm 01.06.1990 3 inquire immediately
111039 Lam Research 716-026652-902 RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T Spares 5 inquire
108174 LEICA KENSINGTON 300901 Wafer Inspection Microscope 1 as is where is
108175 LEICA KENSINGTON 300901 Wafer Inspection Microscope 1 as is where is
108176 LEICA KENSINGTON 300901 Wafer Inspection Microscope 1 as is where is
108177 LEICA KENSINGTON 300901 Wafer Inspection Microscope 1 as is where is
108180 LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope 1 as is where is
108181 LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope 1 as is where is
108182 LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope 1 as is where is
108183 LEICA Reichert-Jung, Kensington 300901 Wafer Inspection Microscope 1 as is where is
109457 MKS AX9004 Remote Plasma Cleaner Spares 1 as is where is
109113 Nanometrics LynX 9010T Optical CD Measurement (Scatterometry) system 300 mm 01.09.2008 1 as is where is immediately
106179 Nanometrics 9000 Nanospec Wafer Metrology film thickness measurement tool 200 mm 01.06.2005 1 as is all rebuilt immediately
106959 Nanometrics 9000 (Spare Parts) Spectroscopic Reflectometer Spares 01.06.2005 1 as is where is immediately
106960 Nanometrics 9000 (Spare Parts) Computer Nanometrics P/n 7200-2432 Spares 01.06.2005 1 as is where is immediately
108087 Nikon 4S061-690-1 Linear Motor Controller spares 1 as is where is
108033 NOVA NOVASCAN 3090 P/N 390-10000-11 CMP metrology system 300 mm 1 as is where is immediately
109216 Nova NovaScan 3090 Next SA Optical CD Measurement 300mm 1 as is where is
109217 Nova NovaScan 3090 Next SA Optical CD Measurement 300mm 1 as is where is
109218 Nova NovaScan 3090 Next SA Optical CD Measurement 300mm 1 as is where is
109219 Nova NovaScan 3090 Next SA Optical CD Measurement 300mm 1 as is where is
77202 Panasonic M91C90GD4W1 AC Geared Motor (G Series) spares 01.12.2008 1 as is where is immediately
108863 PLASMA-THERM 790 Reactive Ion Etcher, Refurbished - Call for Details 1 inquire
109599 Plasmatherm 790 Reactive Ion Etcher 200 mm 1 inquire
53053 Rorze RR304L90 Wafer handling robot, with 5 ceramic robot blades 200 mm 01.06.1995 1 as is where is immediately
98491 Rorze RR701L90-Z20-616 Dual arm Atmospheric wafer handling robot 200mm 1 as is where is immediately
77161 Shimaden SR91-8P-90-1N0 Temperature Regulator Spares 1 as is where is immediately
84267 SMC CDM2RA20-190 AIR CYLINDER 1 as is where is immediately
110519 SPTS AS325490.01 Match SPARES 1 inquire
110520 SPTS AS325490.01 Match SPARES 1 inquire
106150 Tegal 903E Dry Etcher 150 mm 1 as is all rebuilt immediately
106151 TEGAL 900 Plasma dry etch 100 mm 01.10.1984 1 as is where is immediately
106152 TEGAL 903E Plasma dry etch 100 mm 01.06.1985 1 as is where is immediately
84248 THK HSRIZRI/UUM+490LM LINEAR WAY WITH SINGLE BEARING Spares 1 as is where is immediately
111109 Varian E17903590 Guide 1, Liner Spares 5 inquire
111110 Varian E17904590 Liner, Entrance, Side 1 Spares 5 inquire
111111 Varian E17904820 Cover Plate, Center Liner Spares 5 inquire
111114 Varian E17904580 Liner, Centre, Side 1 Spares 5 inquire
111116 Varian E17903570 Liner, Entrance, Side 1 Spares 5 inquire
111119 Varian E17903580 Liner, Entrance, Side 2 Spares 5 inquire
111129 Varian E17904803 Liner, Centre, Top Spares 5 inquire
111130 Varian E17904573 Liner, Centre, Bottom Spares 5 inquire
111132 Varian E17903563 Liner, Entrance, Top Spares 5 inquire
111133 Varian E17903553 Liner, Entrance, Bottom Spares 5 inquire
110658 Varian 3190 Sputtering System 100 mm 1 as is where is immediately
111177 Varian E17304690 END CAP REPELLER IHC 810 VER 2, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111178 Varian E17512690 PLATE, SIDE, IHC MCS, HE120, W, HIGH PURITY - VIISTA HE Spares 5 inquire
111202 Varian E17288190R1 BAR CLAMP CATHODE R1 EHP, TZM, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111210 Varian E17385690 INSERT ELECTRODE SUPP VERSION 2 HE HCS, W, HIGH PURITY - VISTA HCS Spares 5 inquire
111216 Varian E17273990 ELECTRODE SUPRESSION INSERT TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111218 Varian E17386490 BASE,SUPPORT,SUP ELEC,4 DEG 70MM X 4.7MM, HIGH PURITY - VISTA HCS Spares 5 inquire
111237 VARIAN E17790370 Block, Support, Clipper - VARIAN Spares 5 inquire
111238 VARIAN E17790360 Block, Support, Clipper - VARIAN Spares 5 inquire
111240 VARIAN E11383290 Liner, Beam Dump, 90 Degree - VARIAN Spares 5 inquire
111245 VARIAN E59000002 STANDOFF CERAMIC 1/2"L 3/8"OD 6-32 THD, CERAMIC, HIGH PURITY - XE/XER Spares 5 inquire
111252 VARIAN E17275900R3 INSULATOR SMALL, 20KV, D2, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
106755 VISTEC LWM9000 CD SEM for 65 nm mask measurement Reticle / 150 mm 01.06.2005 1 as is where is immediately
108981 Watkins Johnston 903169-001 rev D WJ 952 MFC REGULATION PCB Spares 01.06.1994 1 as is where is immediately
109033 WEST BOND 10490 Convertible Bonder , Wedge to Ball Assembly 1 as is where is immediately
83864 Zeiss 990634-5100 Joystick, Carl Zeiss 2 as is where is immediately
83866 Zeiss 475690-0206 Joint plate 6 as is where is immediately


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry