KLA Test Wafer for sale

Fabsurplus Used Semiconductor Equipment Update December 2023

Dear Customers and Friends,

Greetings and welcome to my Used Semiconductor Equipment Mailing for December 2023. This month, I have found a lot of very interesting equipment to offer you from my suppliers around the world.
SDI-Fabsurplus is an experienced and trusted broker of Semiconductor Equipment Worldwide, with facilities in Europe and Asia. We have the knowledge and contacts you need to quickly find the equipment or parts you want to buy. If you need to buy equipment, reply to this message now and let me know what it is you want to purchase.
We would also be keen to help you sell your unwanted and idle semiconductor and solar related capital equipment. Together, let’s give the environment a break by re-cycling and re-using advanced technical equipment. Reply to this message and send me your surplus equipment lists – I look forwards to your contact.

This month, I have 3 lists of Semiconductor Manufacturing Equipment for Sale to bring to your attention as follows:

List 1: The Complete Sales List in Excel format.This includes a selection of the best and most interesting equipment that recently became available which we are brokering from factories around the world.

List 2: Equipment for Sale Owned by SDI-Fabsurplus and our partners and located at warehouses in Italy , Israel, Germany , Singapore and Malaysia. I have recently taken delivery of several new items of Automated Test Equipment. See them below in the “featured items”.

List 3: List of Spares for Sale, owned by SDI-Fabsurplus and located in Italy.


Download the sales lists here
▶   DOWNLOAD THE COMPLETE SALES LIST (.xlsx format)  
▶   DOWNLOAD NOV 2023 OWNED & EXCLUSIVE EQUIPMENT LIST (.xlsx format)  
▶   DOWNLOAD NOV 2023 OWNED SPARES LIST (.xlsx format)  

Wanted Items for December 2023

We also buy equipment. We are currently searching for the following items for immediate purchase. If you have used equipment to sell, please send us details, photos and price:-

A complete 12 inch wafer fab line with building in USA or EU
Any Stylus profileometer for up to 200 mm wafers, can be manual loader
AMAT Mirra and Mira Mesa tools for refurbishment
ASM E2000 Epi Deposition
ASML PAS 5500-300 thro’ 850 DUV scanner with 200 nm resolution
ASML XT1250B or other 193 nm scanner which will work with 200 mm wafers
Axus Capstone CMP
Canon FPA5000i5+ or similar
Disco DTG8440 Taiko Grinder, 8 inch
FEI Dual-Beam FIB-Sem e.g. Sirion or Strata V400, V600
Karl Suss MA200
Hitachi Kokusai KE DD-1206VN-DF 300mm that can do dry and wet oxidation
K and S AT Premier Stud Bumping Bonder
KLA RS200 Resmap or similar
KLA ADE 9700 or similar
KLA FLX 2320
KLA SP3 preferrably in USA
FSI Mercury
Leica LMS IPRO reticle inspection system
Lintec RAD3500F/12
Meyer Burger DW2800 Series 3 Wire saw qty 10
Nikon NSR-S207D scanner
Rudolph DragonFly
SPTS Sigma FXP
Ultratech Sapphire 100

Our long experience of marketing semiconductor equipment worldwide has allowed us to develop over 10,000 industry contacts. Contact me now to learn about how we can help you sell your equipment quickly, efficiently and at a low cost through our equipment re-marketing program.

Thanks so much for your kind attention, and we look forwards to receiving your inquiries soon.

Yours sincerely,

SDI Fabsurplus Italia SRL

Stephen Howe
Company Owner
email: info@fabsurplus.com
Mobile:(Italy) +39-335-710-7756
Skype: stephencshowe