fabsurplus.com

List of 7 equipment available for sale at fabsurplus.com

The following are the items available for sale related to 7 at SDI fabsurplus.com. To inquire about the 7 equipment item you need, click on the relevant link below to get more details, and inquiry if interested. If no result is shown, please try to search for another item or inquiry us about your request of 7 items.



SDI ID Manufacturer Model Description Version Vintage Q. ty Sales Conditions Lead Time
109291 AD-TEC AX-1000Ⅲ-27M-LC-GT RF GENERATOR Spares 1 as is where is
77166 Advantech IPC-5475 data acquisition card Spares 3 as is where is immediately
77206 Advantech PCL-745B data acquisition card 2-port RS422/485 Rev B1 Spares 1 as is where is immediately
77207 Advantech PCL-730 data acquisition card 32ch TTL DIO Spares 1 as is where is immediately
74142 Advantech PCL-730 32-ch Isolated Digital I/O Card w/32ch TTL DIO 31.12.2007 2 as is where is
74143 Advantech PCL-745B 2-port RS-422/485 ISA COMM Card w/ isolation 31.12.2007 2 as is where is
108548 ADVANTEST M6751AD Test Handler 200 MM 1 as is where is
109575 ADVANTEST T5377 Automated test Equipment Test 01.06.2010 6 as is where is immediately
108045 Advantest M6771AD TEST HANDLER TEST 1 as is where is
108048 Advantest T5371 Automated test equipment TEST 5 as is where is
94490 Advantest T5375 Automated Test Equipment TEST 2 as is where is immediately
83498 Advantest BGR-016797 ADVANTEST T5335P PC Board (was 80207) 6 as is where is immediately
83499 Advantest BGR-016796 ADVANTEST T5335P PC Board Spares 5 as is where is immediately
83501 Advantest BGR-020765 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
83502 Advantest BGR-017418 ADVANTEST T5335P PC Board HV PPS Spares 2 as is where is immediately
83503 Advantest BGK-017719 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
83504 Advantest BGK-011702 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
99379 ADVANTEST T5375 High-Speed Flash Memory tester TEST 1 as is where is immediately
99380 ADVANTEST T5771ES Automated Test Equipment for laboratory or office use TEST 1 as is where is immediately
80194 Advantest BGR-020773 ADVANTEST T5335P PC Board Spares 7 as is where is immediately
80197 Advantest BGR-020774 rev x2 ADVANTEST T5335P PC Board Spares 3 as is where is immediately
80199 Advantest BGR-020771 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80201 Advantest BGR-020772 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
80202 Advantest BGR-017577 ADVANTEST T5335P PC Board BGR-017575 Spares 2 as is where is
106570 ADVANTEST T5371 Memory Tester TEST 01.06.2000 1 as is where is
106571 ADVANTEST T5371 Memory Tester TEST 01.06.2000 1 as is where is
80205 Advantest BGR-017578 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80206 Advantest BGR-017579 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80207 Advantest BGR-016796 ADVANTEST T5335P PC Board PGR-816796CC3 Spares 6 as is where is immediately
80209 Advantest BGR-016794 PGR-816794CC3 PC Board Spares 2 as is where is immediately
80210 Advantest BGR-016793 ADVANTEST T5335P PC Board Spares 1 as is where is immediately
87652 Advantest T5371 Test system (With a single test head ) Test 1 as is where is immediately
92006 Advantest BGR-016796 ADVANTEST T5335P PC Board spares 1 as is where is immediately
92008 Advantest BGR-017418 ADVANTEST T5335P PC Board spares 1 as is where is immediately
80293 Advantest BGR-019267 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80296 Advantest BGR-017417 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
80302 Advantest BIR-021807 ADVANTEST T5335P PC Board Spares 2 as is where is immediately
108241 Advantest M6751AD TEST HANDLER TEST 01.06.2001 1 as is where is
108242 Advantest T5371 AUTOMATED TEST EQUIPMENT TEST 01.06.2001 1 as is where is
108243 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108244 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108245 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108246 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2004 1 as is where is
108247 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2003 1 as is where is
108248 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2002 1 as is where is
108249 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2001 1 as is where is
108250 Advantest T5376 AUTOMATED TEST EQUIPMENT TEST 01.06.2003 1 as is where is
109673 AE (Advanced Energy) Cesar 2710 Generator SPARES 1 inquire
109674 AE (Advanced Energy) Cesar 2720 Generator SPARES 1 inquire
109675 AE (Advanced Energy) Cesar 2720 Generator SPARES 1 inquire
109676 AE (Advanced Energy) Cesar 2720 Generator SPARES 1 inquire
109751 AE (Advanced Energy) Ovation 2760 Generator SPARES 1 inquire
108555 Agilent 16702A LOGIC ANALISYS SYSYEM TEST 1 as is where is immediately
101035 Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator Spares 31.03.2008 1 as is where is immediately
101036 Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator Spares 31.05.2008 1 as is where is immediately
18870 AGILENT 03577-90212 AGILENT 3571A network analyzer service manual 1 as is where is
108897 Agilent / Verigy 4073B PARAMETRIC TEST SYSTEM TEST 01.05.2011 1 as is where is immediately
108899 Agilent / Verigy 4073A PARAMETRIC TEST SYSTEM TEST 01.05.2001 1 as is where is
79588 Agilent / Verigy / Keysight 1671G Logic Analyzer test 01.09.2007 1 as is where is immediately
79589 Agilent / Verigy / Keysight 1671G Logic Analyzer test 01.09.2008 1 as is where is immediately
80268 AIR PRODUCTS R-704-VCR PRESSURE REGULATORS TEST APSA46/2250 30.04.1993 2 as is where is immediately
83904 AIR PRODUCTS F-074-VCR PRESSURE REGULATOR 10-50L MIN HCL P/N: 101203-2 01.10.1989 1 as is where is immediately
83595 Allen Bradley 1762-IF4 PLC 4-ch input module 1 as is where is immediately
111407 AMAT 0010-28715 ESC Heater for TXZ 300mm 1 as is where is immediately
83588 AMAT 0040-77544 Rorze Ergo Loader Turn Plate Mirra CMP FABS-202 AMAT 0040-77544 Spares 1 as is where is immediately
108978 AMAT 0010-36736 Heater 1 as is where is immediately
79884 ANDO AF9723 Eprom Gang programmer w/ RAM expansion Electronics Test and Measurement 01.01.1995 1 as is where is immediately
84221 ANELVA 954-7700 Vacuum Feedthrough Spares 1 as is where is immediately
108132 APPLIED BIOSYSTEM ABI-3700 ANALYZER Automatic Sequencer 01.06.2000 1 as is where is
110850 Applied Materials 0140-77300 Wafer loss sensor Cable - CMP HEAD Spares 5 inquire
110851 Applied Materials 0140-77631 Head Rotation/ Resolver cable - CMP HEAD Spares 5 inquire
110852 Applied Materials 0021-77866 Drive spindle clamp - CMP HEAD Spares 5 inquire
110853 Applied Materials 0190-77116 Flexible disk - CMP HEAD Spares 5 inquire
110854 Applied Materials EE-SX672 Sweep Home flag sensor - CMP HEAD Spares 5 inquire
110855 Applied Materials 0140-7763 Head Rotation Power cable HD 1 - CMP HEAD Spares 5 inquire
110856 Applied Materials 0140-7764 Head Rotation Power cable HD 2 - CMP HEAD Spares 5 inquire
110857 Applied Materials 0140-7765 Head Rotation Power cable HD3 - CMP HEAD Spares 5 inquire
110858 Applied Materials 0140-7766 Head Rotation Power cable HD4 - CMP HEAD Spares 5 inquire
110860 Applied Materials 0100-77040 Head Pneumatics board Titan - CMP HEAD Spares 5 inquire
110862 Applied Materials 0190-38704 SMC2000 - Node 24 - CMP Cleaner Spares 5 inquire
110863 Applied Materials 0040-64397 Megasonic Halar Tank - CMP Cleaner Spares 5 inquire
110864 Applied Materials MS1-286X70-4A-Q Megasonic Transducer Plate - CMP Cleaner Spares 5 inquire
110868 Applied Materials 0021-79131 Walking Beam Finger Pins - CMP Cleaner Spares 5 inquire
110870 Applied Materials 0190-77181 Brush Position Roller Servomotor Scrubber - CMP Cleaner Spares 5 inquire
110871 Applied Materials 0190-77182 Scrubber Brush Servomotor - CMP Cleaner Spares 5 inquire
110872 Applied Materials 0190-77212 Output Station Servo Motor - CMP Cleaner Spares 5 inquire
110874 Applied Materials 0190-77200 SRD Servo Motor - CMP Cleaner Spares 5 inquire
110875 Applied Materials 1080-01207 Walking Beam Servo Motor x - CMP Cleaner Spares 5 inquire
110876 Applied Materials 1080-01207 Walking Beam Servo Motor y - CMP Cleaner Spares 5 inquire
110877 Applied Materials 0090-77173 Wafer Present Switch - CMP Cleaner Spares 5 inquire
110881 Applied Materials 0090-00917 Megasonic Tank Level Level Switch - CMP Cleaner Spares 5 inquire
110882 Applied Materials 0090-77239 Harness, H202 HH Level Sensor - CMP Cleaner Spares 5 inquire
110883 Applied Materials 0090-77240 Harness, H202 High Level Sensor - CMP Cleaner Spares 5 inquire
110884 Applied Materials 0090-77241 Harness, H202 Low Level Sensor - CMP Cleaner Spares 5 inquire
110885 Applied Materials 0090-77242 Harness, H202 LL Level Sensor - CMP Cleaner Spares 5 inquire
109095 Applied Materials 0010-10527 Polyimide ESC PEDESTAL, MxP-5200 Poly 8" Flat 200 mm 1 as is where is immediately
110887 Applied Materials 0090-77203 Shuttle Wafer Presence Switch - CMP Cleaner Spares 5 inquire
110888 Applied Materials 0090-77179 Gripper sensor harness, arm 1 - CMP Cleaner Spares 5 inquire
110889 Applied Materials 0090-77180 Gripper sensor harness, arm 2 - CMP Cleaner Spares 5 inquire
110890 Applied Materials 0090-77181 Gripper sensor harness, arm 3 - CMP Cleaner Spares 5 inquire
110891 Applied Materials 0090-77182 Gripper sensor harness, arm 4 - CMP Cleaner Spares 5 inquire
110892 Applied Materials 0090-77183 Gripper sensor harness, arm 5 - CMP Cleaner Spares 5 inquire
110895 Applied Materials 0021-79644 Megtank Roller holder - CMP Cleaner Spares 5 inquire
110896 Applied Materials 0010-77582 Idler Assembly - CMP Cleaner Spares 5 inquire
110897 Applied Materials 0015-77174 Brush wafer roller motor pulley - CMP Cleaner Spares 5 inquire
110899 Applied Materials 0010-77380 Lower Stopper Assembly - CMP Cleaner Spares 5 inquire
110900 Applied Materials 0010-77323 Brush gear assy - CMP Cleaner Spares 5 inquire
110901 Applied Materials 0015-77287 Pulley Driver - CMP Cleaner Spares 5 inquire
110902 Applied Materials 0190-77502 Vessel, Pressure, 2-Chamber - CMP Cleaner Spares 5 inquire
110903 Applied Materials 0190-77397 Chem Vessel, 2.4 Liter - CMP Cleaner Spares 5 inquire
110904 Applied Materials 0190-77393 Bleed Valve Manifold - CMP Cleaner Spares 5 inquire
83514 Applied Materials Opal 7830i Enhanced CD MEASUREMENT SEM FOR 200 mm / 150 mm wafers 100 mm to 200 mm 01.05.1997 1 as is where is immediately
110906 Applied Materials 1010-01437 Quartz Infrared Halogen Lamp - CMP Cleaner Spares 5 inquire
110908 Applied Materials 0870-01038 200V 100W SGDA - CMP Cleaner Spares 5 inquire
110909 Applied Materials 0870-01040 200V 200W SGDA - CMP Cleaner Spares 5 inquire
110910 Applied Materials 0870-01039 200V 400W SGDA - CMP Cleaner Spares 5 inquire
110912 Applied Materials 1140-01367 Power Supply, DC 24V 5A 120W 115/230VAC SDN 5-24-100 (SL5) - CMP Cleaner Spares 5 inquire
110914 Applied Materials 0010-77682 Megasonics Lower Electronics - CMP Cleaner Spares 5 inquire
110915 Applied Materials 0010-77680 SRD Lower Electronics - CMP Cleaner Spares 5 inquire
110916 Applied Materials 0010-77681 System Lower Electronics - CMP Cleaner Spares 5 inquire
110917 Applied Materials 0190-06673 Fabs Robot Assy - CMP FABS Spares 5 inquire
110930 Applied Materials 0100-77035 Robot X Track board - CMP controller Spares 5 inquire
110931 Applied Materials 0190-14372 Pad Cond Driver SGDA-01AS - CMP controller Spares 5 inquire
110935 Applied Materials 0870-01080 Vexta Wet Robot Driver - CMP controller Spares 5 inquire
110943 Applied Materials 0110-77037 Controller Interlock Board 1 - CMP controller Spares 5 inquire
110944 Applied Materials 0110-77038 Controller Interlock Board 2 - CMP controller Spares 5 inquire
101768 Applied Materials 0010-00557 REV A Heat Exchanger FACILITIES 31.08.1988 1 as is where is immediately
18843 APPLIED MATERIALS 079-1202-0D PR 5000 Advanced prev. And corrective main 1 as is where is
111059 Applied Materials 0040-07291 DEPOSITION RING ADV 101 300MM - DSTTN Spares 5 inquire
110808 Applied Materials 0010-77153 Wet Robot Assy - CMP Polisher and Lower Mirra Spares 5 inquire
110809 Applied Materials 1080-01170 Platen Motor Assy - CMP Polisher and Lower Mirra Spares 5 inquire
111066 Applied Materials 0021-84173 COVER RING - Aluminum Spares 5 inquire
111067 Applied Materials 0200-07492 DEPOSITION RING - Aluminum Spares 5 inquire
110813 Applied Materials 0040-77041 Mirra teflon Coated upper polish platen - CMP Polisher and Lower Mirra Spares 5 inquire
109534 Applied Materials 990-004763-015 Pad Con Analog Pneumatic Unit Spares 1 as is where is immediately
109535 Applied Materials 990-004763-015 (Upgrade) Upgrade for Pad Con Analog Pneumatic Assembly Spares 1 as is where is immediately
110815 Applied Materials 0190-17083 Platen Polish Bearing - CMP Polisher and Lower Mirra Spares 5 inquire
110816 Applied Materials 3970-00030 Platen Gear Box/ Head - CMP Polisher and Lower Mirra Spares 5 inquire
110817 Applied Materials 0190-77114 Yaskawa Cross Servo Motor Model: SGM-04UWB4L - CMP Polisher and Lower Mirra Spares 5 inquire
106211 Applied Materials 0050-20072 REV B GAS LINE CHAMBER 3 HEATER MFC 1 VCR Spares 01.06.1999 1 as is where is immediately
110819 Applied Materials 0190-77016 Pad cond sweep motor (Yaskawa SGM-01U3B4L) - CMP Polisher and Lower Mirra Spares 5 inquire
106212 Applied Materials 0050-76652 REV A GAS LINE CHAMBER 2 PROCESS MFC 19 MIXED Spares 01.06.1999 1 as is where is immediately
110820 Applied Materials 0190-77015 Pad cond rotation motor (Yaskawa SGM-01U3B4CL) - CMP Polisher and Lower Mirra Spares 5 inquire
110821 Applied Materials 0010-77721 Wet Robot Blade - CMP Polisher and Lower Mirra Spares 5 inquire
110822 Applied Materials 0020-78177 Wet Robot blade gasket - CMP Polisher and Lower Mirra Spares 5 inquire
110825 Applied Materials 0190-17944 Wet robot -Z-Axis (Up and Down Motion) Motor Assembly - CMP Polisher and Lower Mirra Spares 5 inquire
106218 Applied Materials 0240-70416 REV C KIT BLOW OUT VALVE PER CHAMBER Spares 01.06.1999 1 as is where is immediately
110826 Applied Materials 0100-77069 ISRM Module - Full Scan (77069) - CMP Polisher and Lower Mirra Spares 5 inquire
110828 Applied Materials 0100-77064 ISRM Module - Legacy (77064) - CMP Polisher and Lower Mirra Spares 5 inquire
110829 Applied Materials 0090-77110 ISRM Cable (to slip ring) - CMP Polisher and Lower Mirra Spares 5 inquire
110830 Applied Materials 0720-02672 Slip Ring (Conn 6cond Rotating feed through) - CMP Polisher and Lower Mirra Spares 5 inquire
110831 Applied Materials 990-004763-015 Pad Cond Analog Board - CMP Polisher and Lower Mirra Spares 5 inquire
106224 Applied Materials 3870-01281 REV VALVE BLANK PLATE ASSY FOR SMC P/N NVJ11 Spares 01.06.1999 3 as is where is immediately
110832 Applied Materials 0100-77017 Lower Pneumatic Board - CMP Polisher and Lower Mirra Spares 5 inquire
106225 Applied Materials 3870-01284 VALVE BLANK PLATE ASSY FOR SMC P/N NVJ3023 Spares 01.06.1999 1 as is where is immediately
110833 Applied Materials 990-004765-107 Digital Pneutronics Board (Normally Open) - CMP Polisher and Lower Mirra Spares 5 inquire
110834 Applied Materials 990-004765-104 Digital Pneutronics Board (Normally Close) - CMP Polisher and Lower Mirra Spares 5 inquire
110835 Applied Materials MFC-8005-T2105-072-N-001 Chemical Malema Pump - CMP Polisher and Lower Mirra Spares 5 inquire
110841 Applied Materials 0140-08725 P2 cable Harness, E-chain AIO head, 200mm - CMP HEAD Spares 5 inquire
110842 Applied Materials 0140-77637 P1 cable C/A Digital I/O head pneumatic - CMP HEAD Spares 5 inquire
71931 Applied Materials 0050-76664 REV A GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE spares 01.06.1999 1 as is where is immediately
110843 Applied Materials 0140-77632 Head Sweep Cable - CMP HEAD Spares 5 inquire
110844 Applied Materials 0190-77482 Head Sweep Motor assy - CMP HEAD Spares 5 inquire
110845 Applied Materials 0760-01034 3-port, 4-port Rotary Union (0760-01034) - CMP HEAD Spares 5 inquire
110846 Applied Materials 3870-02674 Burkert Valve - CMP HEAD Spares 5 inquire
105851 Applied Materials ® 079-018-05 P5000 Mk II Functional description Practice exercises Spares 30.04.1995 1 as is where is immediately
6538 Applied Materials ® 079-109-0D P5000 Mk II Advanced calibration proceedures manual Jan 1995 Spares 01.01.1995 1 as is where is immediately
6539 Applied Materials ® 079-102-0D P5000 Mk II Advanced preventive and corrective maintenance Apr 1996 Spares 01.04.1996 1 as is where is immediately
109363 ASE AMV-1000-27M-ASMGK-L RF GENERATOR Spares 6 as is where is
109364 ASE AMV-1000-27M-ASMGK-R RF GENERATOR Spares 6 as is where is
109942 Astec 9N24-32-372-FQ-3 Power Supply SPARES 1 inquire
81875 Astec VS3-D4-B4-22 (-447-ce) Power Supply I/P 115-230 VAC/15A O/P 15V DC 120A 2000 W Spares 01.06.1999 4 as is where is immediately
53270 Astec Powertec 9K2-300-372 Super Switcher Power Supply Spares 31.05.1994 2 as is where is immediately
109948 Astex AX2107 Generator SPARES 1 inquire
100347 Autec ATC-70B-RS Thermal Shock Tester 1 as is where is immediately
111224 AXCELIS 17287690 BALL SEAL, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111225 AXCELIS 17031590 INSUL ES QUAD, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111226 AXCELIS 5001057 STDF 5/8LX3/8X6-32 CER 2601, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111227 AXCELIS 1708680 INSULATING BUSHING ARC CHAMBER, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111228 AXCELIS 17287680 FLANGE SEAL, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111229 AXCELIS 17170590 REPELLER INSULATOR ELS/IAS, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111230 AXCELIS 17147310 INSULATOR STANDOFF SOURCE, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
94591 Axcelis B 07 554 MOTOR GSD 180 TOP SPARES 1 as is where is
111231 AXCELIS 17287870 INSULATOR CATHODE PLT, CERAMIC, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
94592 Axcelis PN 1027-0093-0035 Beam Shutter DI 14 SPARES 1 as is where is
94595 Axcelis PS WX 75 N12 0XX0 M 863742-02 GLASSMAN High Voltage DC Power Supply SPARES 1 as is where is
72111 Axcelis 17091650 SUPP RACK Spares 3 as is where is immediately
111283 AXCELIS 17181020 SCREWS SHIELDS, GPH, CG - AXCELIS HE3 Spares 5 inquire
111284 AXCELIS 17164520 ELECTRODE FILAMENT, GPH, CG - AXCELIS HE3 Spares 5 inquire
111285 AXCELIS 17287860 CATHODE MTG PLT, GPH, CG - AXCELIS HE3 Spares 5 inquire
111286 AXCELIS 17270230 SHIELD (2) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111287 AXCELIS 17270220 DIS 72743 FLD RPL ONLY SHIELD (1) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
72120 Axcelis 17S2467 DISK Spares 1 as is where is immediately
111288 AXCELIS 17118510 POLE SHORT ES QUAD, GPH, CG - AXCELIS HE3 Spares 5 inquire
111289 AXCELIS 17270120 SHIELD BOLT HEAD FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111290 AXCELIS 17270110 BLOCK (5) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111291 AXCELIS 17165510 APERTURE FILAMENT, GPH, CG - AXCELIS HE3 Spares 5 inquire
111292 AXCELIS 170150707 SHLD (2) BOLT HEAD AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111293 AXCELIS 17270070 BLOCK (1) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111294 AXCELIS 17270090 BLOCK (3) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111295 AXCELIS 17270240 APERTURE 1 AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111296 AXCELIS 17270080 BLOCK (2) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111297 AXCELIS 17270210 BLOCK (3) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111298 AXCELIS 17270100 BLOCK (4) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111299 AXCELIS 17270130 APERTURE (1) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111300 AXCELIS 17224320 APERTURE FARADAY RESOLVER, GPH, CG - AXCELIS HE3 Spares 5 inquire
111301 AXCELIS 17270190 BLOCK (1) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111302 AXCELIS 17371250 SLIT, GPH, CG - AXCELIS HE3 Spares 5 inquire
111303 AXCELIS 17270200 BLOCK (2) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111304 AXCELIS 17173230 APERTURE ENTRANCE E-SHOWER, GPH, CG - AXCELIS HE3 Spares 5 inquire
111305 AXCELIS 17350350 LINER FEM BELLOWS LEAD, GPH, CG - AXCELIS HE3 Spares 5 inquire
111306 AXCELIS 17182580 STRIKE PLATE (2) DISK, GPH, CG - AXCELIS HE3 Spares 5 inquire
111307 AXCELIS 17182600 STRIKE PLATE (4) DISK, GPH, CG - AXCELIS HE3 Spares 5 inquire
111308 AXCELIS 17182590 STRIKE PLATE (3) DISK,, GPH, CG - AXCELIS HE3 Spares 5 inquire
111309 AXCELIS 17182570 STRIKE PLATE (1) DISK FARADAY, GPH, CG - AXCELIS HE3 Spares 5 inquire
111310 AXCELIS 17098511 APERTURE BEAM DEF PLT, GPH, CG - AXCELIS HE3 Spares 5 inquire
111311 AXCELIS 17293110 ADAPTER RING DISC FARADAY GRAPHITE SHIELD, GPH, CG - AXCELIS HE3 Spares 5 inquire
111312 AXCELIS 17125570 INA 57064 FLD RPL ONLY GROUND PLATE HE SPEC, GPH, CG - AXCELIS HE3 Spares 5 inquire
111313 AXCELIS 17350360 LINER LEAD, GPH, CG - AXCELIS HE3 Spares 5 inquire
111314 AXCELIS 170151678 BLOCK (4) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111315 AXCELIS 170150706 BLOCK (3) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111316 AXCELIS 170150704 BLOCK (1) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111317 AXCELIS 17228170 LINER GRAPHITE BEAM GUIDE PLATE, GPH, CG - AXCELIS HE3 Spares 5 inquire
111318 AXCELIS 17270170 LINER (3) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111319 AXCELIS 170151677 LINER HORIZONTAL AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111320 AXCELIS 170150709 LINER (3) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111321 AXCELIS 17270180 LINER (4) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111322 AXCELIS 17270020 LINER (2) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111323 AXCELIS 17270060 LINER (7) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111324 AXCELIS 170150703 LINER (4) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111069 Axcelis 170156358 SCREW SHOULDER, SUS - AXCELIS HE3 Spares 5 inquire
111325 AXCELIS 17125561 EXTRACTION ELECTRODE, GPH, CG - AXCELIS HE3 Spares 5 inquire
111070 Axcelis 170154476 ARC CHMBR SPACER, SUS - AXCELIS HE3 Spares 5 inquire
111326 AXCELIS 170150705 BLOCK (2) AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111071 Axcelis 170158596 ARC CHMBR SPACER, SUS - AXCELIS HE3 Spares 5 inquire
111327 AXCELIS 17270010 LINER (1) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111072 Axcelis 17S1597 FEEDTHRU 11 ESHOWER, ALU, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111328 AXCELIS 17270140 APERTURE (2) FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111073 Axcelis 17308540 LINER SIDE W-ELS VAP, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111329 AXCELIS 17270050 LINER (5) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111074 Axcelis 17170610 REPELLER STUD W ELS/IAS, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111330 AXCELIS 17270030 LINER (3) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111075 Axcelis 17308550 LINER REPELLER W-ELS, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111331 AXCELIS 17F6732 LINER AMU BELLOWS, GPH, CG - AXCELIS HE3 Spares 5 inquire
111076 Axcelis 17308520 LINER BOTTOM W-ELS, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111332 AXCELIS 17164580 TARGET GRAPHITE E-SHOWER, GPH, CG - AXCELIS HE3 Spares 5 inquire
111077 Axcelis 17170600 REPELLER W ELS/IAS, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111333 AXCELIS 170150708 LINER (1) STRIKER PLATE AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111078 Axcelis 17133340 CATHODE REPELLER 85% DENSE W-ELS/VAE, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111334 AXCELIS 17135440 GRAPHITE LINER ELECTRON SHOWER, GPH, CG - AXCELIS HE3 Spares 5 inquire
111079 Axcelis 17A0732 FEED THRU, ALU, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111335 AXCELIS 17270040 LINER (4) STRIKER PLATE FEM, GPH, CG - AXCELIS HE3 Spares 5 inquire
111080 Axcelis 17308560 LINER CATHODE W-ELS, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111336 AXCELIS 170153782 INSERT GRAPHITE SCE FLANGE - AXCELIS HE3 Spares 5 inquire
111081 Axcelis 170093650R13 CATHODE ASSY TUNGSTEN ELS, 13MM - AXCELIS HE3 Spares 5 inquire
111337 AXCELIS 170151676 LINER L SHAPE HORIZONTAL AMU, GPH, CG - AXCELIS HE3 Spares 5 inquire
111082 Axcelis 170093650R15 CATHODE ASSY TUNGSTEN ELS, 15MM - AXCELIS HE3 Spares 5 inquire
111083 Axcelis 170093650R17 CATHODE ASSY TUNGSTEN ELS, 17MM - AXCELIS HE3 Spares 5 inquire
111084 Axcelis 170030630 CATHODE TUNGSTEN ELS 2X, W, HIGH PURITY - - Spares 5 inquire
111085 Axcelis 17287851 FILAMENT ELS-2X (.040), W - AXCELIS HE3 Spares 5 inquire
111086 Axcelis 17335310 CLAMP FILAMENT ETERNA ELS, TZM, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111087 Axcelis 17230090 ARC SLIT T15 W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
111088 Axcelis 17308500 ARC CHAMBER W-ELS/VAE, W, HIGH PURITY - AXCELIS HE3 Spares 5 inquire
108733 BAUSCH & LOMB StereoZoom 7 Microscope on Boom Stand, 1-7X with 15X Eyepieces and 0.67X Adapter Lens 1 as is where is
77095 Bellows 10.01.06.00878 Bellows suction cup 9mm, pack of 12 1 as is where is
77169 Bimba Ultran US-1737.125-S Rodless Pneumatic Actuator Spares 1 as is where is immediately
77170 Bimba Ultran US-1734.125-S Rodless Pneumatic Actuator Spares 1 as is where is immediately
108801 BIO-RAD Q7 Overlay Metrology Tool 1 inquire
108803 BOONTON 72B Capacitance Meter SPARES 1 inquire
109079 Brooks 139750-77 Vacuum back end (VBE) ASSY,VBE,G2-6,L2.5B,M7B,BTH LL,3TP/T,200-300MM 200-300 mm 01.06.2015 1 as is where is immediately
109080 Brooks 241251-0007 Equipment front end module (EFEM) ASSY,JCP,2SR,S2,WE30,VGVG,XXLO,VIVIXXXX,30B,#3065 200 mm and 300 mm 01.06.2015 1 as is where is immediately
106851 Brooks MAG 7 Wafer Handling Robot Spares 1 as is where is immediately
106892 Brooks MAG 7 Wafer Handling Robot qty 2 Spares 2 as is where is immediately
106642 BROOKS METARA 7200 Overlay 200 mm 01.06.1996 1 as is where is
102553 Brooks DBM 2407 V2 Dual arm Atmospheric wafer handling robot 200/300mm 2 as is where is immediately
110784 Brooks Magnatran 7 Wafer Handling Robot Spares 1 as is where is immediately
106808 Brooks / PRI ABM/ATM 407B single arm atmospheric wafer handling robot 200/300mm 5 as is where is immediately
106809 Brooks / PRI ATM 204/207 single arm atmospheric wafer handling robot 200/300mm 6 as is where is immediately
106810 Brooks / PRI ATM 305/307 single arm atmospheric wafer handling robot 200/300 mm 2 as is where is immediately
106812 Brooks / PRI DBM 2706 Dual arm Atmospheric wafer handling robot 200/300mm 2 as is where is immediately
106105 Brooks / PRI ATM-207-2S-CE Single arm atmospheric wafer handling robot 200/300mm 01.03.2004 1 as is where is 2 weeks
103821 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 01.12.2006 2 as is where is immediately
103822 Brooks Automation DBM2407-V2 Dual Arm Robot Spares 01.12.2006 1 inquire immediately
77154 Cam York ST-17-08-153-01-154-01-0-00-C010(1) Centrifual Blower Motor 1/8hp 220V 0.65A 30 RPM Spares 1 as is where is immediately
52262 Canon 6736A reticle handling robot for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 spares 30.06.1999 1 as is where is immediately
53049 Canon BH8-1768-02 Library Sub Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 31.05.1999 1 as is where is immediately
103739 CANON BG4-6746 BH8-1822-01 BG8-2683 WF/RC IF PCB FOR CANON I-LINE STEPPERS Spares 31.10.1996 1 as is where is immediately
53056 Canon BG9-4757, BH8--1069-01 AF DRV board for Canon FPA 1550 series spares 1 as is where is immediately
53057 Canon BG9-4760, BG83111, BH8-1071-02 SH/RH board for Canon FPA 1550 spares 30.06.1999 1 as is where is immediately
53058 Canon BG9-4761, BG8-3112, BH8-1071-01 SH/RH board FOR CANON FPA SERIES STEPPERS spares 30.06.1999 1 as is where is immediately
53059 Canon BH8-1073-01, BG9-4763, BG8-3114 PA SENSER board spares 01.06.1999 1 as is where is immediately
53060 Canon BG9-4764, BH8-1074-01 PA CCD board for Canon FPA series steppers spares 30.06.1999 1 as is where is immediately
53061 Canon BG9-4762, BG8-3113, BH8-1072-01 PA STAGE board for Canon 1550MarkIV series spares 30.06.1995 1 as is where is immediately
53062 Canon BG9-4758, BG8-3109, BH8-1070-02 SC/RC board for Canon FPA series steppers spares 30.06.1999 1 as is where is immediately
53063 Canon BG9-4759, BG8-3110, BH8-1070-02 SC/RC board for Canon fpa spares 30.06.1999 1 as is where is immediately
52338 Canon BH8-2017-01 IL3-CD-PCB for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52342 Canon BH8-1979-01 EASLCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
103800 Canon BG3-7842 STG CD4 PCB, for Canon FPA5000 ES3 scanner Spares 1 as is where is immediately
80249 CANON BG4-7001 BH8-1837-01 PCB 1 as is where is immediately
52348 Canon BH8-2065-02, BG4-8805, BG8-3375 CD90-INTLK board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52379 CANON FUJITSU DENSO 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52380 CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52381 CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 30.06.1999 1 as is where is immediately
52382 CANON FUJITU DENSO 4247-E924 1ch power supply module for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 spares 30.06.1999 1 as is where is immediately
52384 Canon Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 spares 1 as is where is immediately
105979 Canon BG4-6736 Reticle handling robot for ES2 ES3 ES4 i5 etc Spares 01.05.2000 1 as is where is 1 month
106238 Canon BG4-8597 Electro-optical assembly from iA scope for FPA 3000 Ex4,5,6 Spares 01.06.1998 1 as is where is immediately
109386 COMET 101187 RF GENERATOR Spares 1 as is where is
109387 COMET 101187 RF GENERATOR Spares 4 as is where is
109388 COMET 101187 RF GENERATOR Spares 1 as is where is
53025 COMPUMOTOR M57-51 Lead screw spares 1 as is where is immediately
109533 Creative Design Engineering (CDE) ResMap 178 Resistivity Mapping System Up to 200 mm 01.06.2012 1 as is where is immediately
84278 Credence 671-4394-01 Test head connector board Spares 01.01.1999 2 as is where is immediately
84279 Credence 671-4270-02 Capture Processor board 1A Spares 01.01.1999 1 as is where is immediately
84280 Credence 671-4394-04 Test head connector board Spares 01.01.1999 1 as is where is immediately
84281 Credence 672-6051-01 Support Module Interface 01.01.1999 1 as is where is immediately
83559 Credence 671-4276-50 PIN card FOR CREDENCE DUO SX TESTER Spares 01.01.1999 3 as is where is immediately
83819 Credence 671-4359-01 DPAC Parallel Pattern Memory PCB Spares 01.01.1999 1 as is where is immediately
84099 Credence 671-1376-02 Analog Power Monitor PCB 584-02 Spares 01.07.1999 1 as is where is immediately
80269 Credence 671-4283-01 Duo SX ROM Sequencer TPI/PD Spares 01.07.1999 1 as is where is immediately
80270 Credence 671-4331-01 DUO SX ROM Sequencer PCB SPARES 01.07.1999 1 as is where is immediately
80271 Credence 670-9426-05 DUO SX Controller I/O PCB Spares 01.07.1999 1 as is where is immediately
80272 Credence 671-0693-04 Duo SX DMA2 Controller PCB spares 01.05.1999 1 as is where is immediately
80273 Credence 671-4043-01 DUO SX FUNCTIONAL TEST CONTROLLER PCB Spares 01.04.1999 2 as is where is immediately
80274 Credence 671-4098-952707 DUO SX Master Clock Dist. 01.10.1999 1 as is where is immediately
106924 Credence 671-4359-00 REV 3 DPAC Parallel Pattern Memory SPARES 01.07.1999 18 as is where is immediately
106925 Credence 671-4177-04 Support Module Interface PCB spares 01.08.1998 2 as is where is immediately
106926 Credence 671-4351-00 rev A Intersegment Communications Connector Spares 01.01.1999 1 as is where is immediately
83631 Credence 071-1022-01 Duo & Logic 100 Test System Service Manual MANUAL 01.01.1997 1 as is where is immediately
106927 Credence 671-2119-00 Analog Support Air flow / Bus Grant Spares 8 as is where is immediately
106928 Credence 671-4270-02 REV A Capture Processor PCB 1A Spares 01.01.1999 2 as is where is immediately
106929 Credence 671-4270-03 rev A Capture Processor 1a pcb Spares 01.01.1999 2 as is where is immediately
106930 Credence 671-4155-05 Analog Support Module VHF PCB Spares 01.06.1999 1 as is where is
106931 Credence 671-4098-952707 DUO SX Master Clock Dist. PCB spares 01.10.1999 1 as is where is immediately
106932 Credence 671-4283-01 REV 4 Duo SX ROM Sequencer TPI/PD PCB SPARES 01.07.1999 1 as is where is immediately
106933 Credence 671-4331-01 DUO SX ROM Sequencer PCB Spares 01.07.1999 1 as is where is immediately
106934 Credence 670-9426-05 DUO SX Controller I/O PCB Spares 01.07.1999 1 as is where is immediately
80311 Credence 671-4127-00 Scan Memory 01.01.1999 15 as is where is immediately
106935 Credence 671-0693-04 Duo SX DMA2 Controller PCB Spares 01.05.1999 2 as is where is immediately
80312 Credence 671-4359-00 DPAC Parallel Pattern Memory Spares 01.01.1999 35 as is where is immediately
106936 Credence 671-4043-01 REV A DUO SX FUNCTIONAL TEST CONTROLLER PCB Spares 01.04.1999 2 as is where is immediately
80313 Credence 672-4359-00 DPAC Parallel Pattern Memory 01.01.1999 1 as is where is
106937 Credence 671-0951-04 DUO SX Analog I/O Board Spares 01.01.1999 3 as is where is immediately
80314 Credence 672-6051-03 Support Module Interface PCB for a Credence Duo tester Spares 01.01.1999 4 as is where is immediately
106938 Credence 671-4127-00 Scan Memory PCB FOR A CREDENCE DUO SX TESTER Spares 01.01.1999 4 as is where is immediately
106939 Credence 671-4394-05 REV A Test head connector PCB Spares 01.11.2005 1 as is where is immediately
80316 Credence 671-4270-03 Capture Processor 01.01.1999 2 as is where is immediately
106940 Credence 672-6051-01 Support Module Interface tpi / td pcb Spares 01.07.1999 1 as is where is immediately
80317 Credence 671-2119-00 Analog Support Air flow Bus Grant PCB , for Credence DUO tester Spares 7 as is where is immediately
80318 Credence 671-4155-05 Analog Support Module VHF PCB for Credence Duo Tester Spares 01.06.1997 1 as is where is immediately
80320 Credence 671-4351-00 Intersegment Communications Connector 01.01.1999 1 as is where is immediately
81864 Credence 671-4098-02 DUO SX Master Clock Dist. PCB Spares 01.02.1999 1 as is where is immediately
81866 Credence 671-4635-01 Testhead connector PCB board, from a Credence Duo SX test system Spares 01.02.2007 1 as is where is immediately
82168 Credence 671-1461-04 Intersegment communications board Spares 01.07.1999 1 as is where is immediately
94584 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94585 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94586 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
94587 CTI Cryogenics 8033167 Torr High Vacuum Pump PUMP 1 as is where is
4267 CVC 31-313216-8171 CONNEXION INSTRUCTION MANUAL Spares 01.03.1998 1 as is where is immediately
106018 Cymer 01-13500-07 Paddle for Cymer 4300 laser Spares 30.06.1996 1 as is where is immediately
84375 DELL PWB 9578D GX B-V0A 94V-0 31.03.1999 1 as is where is immediately
83627 DENSAN DSB-S17 OUTPUT BOARD SDB-S17 2 as is where is immediately
77187 Despatch 164700 Thermocouple Spares 1 as is where is immediately
108995 Despatch CDF 7210 (SPARES) 55 cm length of furnace belt spares 1 as is where is immediately
108396 Disco DFL7160 Laser Saw 300 mm 1 as is where is
108397 Disco DFL7340 Laser Saw 200 mm 01.01.2016 1 as is where is
109169 DISCO DFL7160 Laser Saw 300mm 1 as is where is
109170 DISCO DFL7160 Laser Saw 300mm 1 as is where is
110764 Disco DFL7340 Laser Saw 200 mm 01.06.2011 1 as is where is immediately
110800 Disco DGP8761 with DFM2800 Wafer Back Grinder with Wafer Taper 300 mm 01.06.2012 1 inquire immediately
90089 DISCO DFL7160 WBL LASER SAW (DAF Type) 300 mm 01.05.2012 1 as is where is immediately
110967 DNS MX-7500 DBC/TBC BRUSH LOAD CELL AMP (TECHNICAL&TRY Spares 5 inquire
110969 DNS 739-57668 N2 FLOW METER(TOKYO KEISO) Spares 5 inquire
110970 DNS 739-63356 FLOW METER(TOKYO KEISO) Spares 5 inquire
110973 DNS 7-39-33737 FSB BOARD Spares 5 inquire
110977 DNS H7CL-ADS OMRON COUNTER Spares 5 inquire
110990 DNS 700.252.705 GF+ VALVE Spares 5 inquire
18880 DNS 8089702 D-SPIN 80A Engineer's manual 1 as is where is
18889 DNS 806-9371 D-SPIN 60 Instruction manual 1 as is where is
107444 Dressler VM 700 A RF Match Spares 1 as is where is immediately
110057 Dressler Cesar 2740 Generator SPARES 1 inquire
110070 Dressler VM 700 A Match SPARES 1 inquire
108620 EBARA AA70WN Vacuum Pump PUMP 1 as is where is
108621 EBARA AA70W Vacuum Pump PUMP 3 as is where is
106174 Ebara AAS70WN Dry pump 01.01.2004 8 as is where is immediately
80029 EDA Industries PCBA 05758 UBTS Diagnostic Board for BIB oven Reliability 1 as is where is immediately
80032 EDA Industries PCBA 07009 PTDM TO ART 200 EXTENDER CARD Reliability 1 as is where is immediately
80033 EDA Industries ERNI 914796 RC BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated). Reliability 256 as is where is immediately
110072 Electrotech LF A48257 Match SPARES 1 inquire
110073 Electrotech LF A48257 Match SPARES 1 inquire
110074 Electrotech LF A48257 Match SPARES 1 inquire
110106 ENI DOFBC2-078 Match SPARES 1 inquire
110107 ENI Dual Match 2.27Mhz Match SPARES 1 inquire
109429 ENI DOFBC2-078 RF GENERATOR Spares 1 as is where is
108958 Entegris A72-40MB-0215 Teflon Carrier 4" SPARES 100 MM 57 as is where is immediately
108064 EO Technics EO 9702 Laser marking 1 as is where is
83798 ESI 43175 4 phase encoder logic assy Spares 1 as is where is immediately
83803 ESI 29278 ESI pcb Power Amplifier driver for ESI 44 fuser Spares 1 as is where is immediately
83813 ESI 41751 Transducer Buffer PCB for ESI model 44 laser fuser Spares 2 as is where is immediately
83820 ESI 24971 Manual Functions PC Board FOR ESI MODEL 44 LASER TRIMMER Spares 1 as is where is immediately
83822 ESI 41207 IEEE 488 Interface FOR ESI 44 LASER TRIMMER SPARES 1 as is where is immediately
36507 ESI M9275 LASER REPAIR SYSTEM 01.12.1998 1 inquire
80169 Festo 150857 SME-8-S-LED-24 Proximity switch 01.12.2012 1 as is where is immediately
95574 FSI Polaris 3500 (Spares) 294157-200 REV C PCB, MODULE DRIP AND GUARD INPUTS Spares 1 as is where is immediately
95578 FSI Polaris 3500 (Spares) Proteon P7228 rev h PROTEON PRONET P7228 8 PORT Spares 2 as is where is immediately
18851 FSI 907575-100 Mercury MP spray processing system operation and maintenance manual manual 01.05.1994 1 as is where is immediately
18855 FSI 906487 Chemblend 350 operation and maintenance manual 2 as is where is
18862 FSI 916197-100 Model 1000 CDM ( ce-marked with PPS ) operations and maintenance manual 1 as is where is
27874 FSI 907051-100 Excalibur ISR Operation and Maintenance Manual 1
110782 Giant Force GPO-270-B Burn-In Oven Reliability 01.09.2022 1 as is where is immediately
106855 Gigaphoton A700GK-1 248 nm (KrF) excimer laser Facilities 01.10.2007 1 as is where is immediately
83800 GSC P140-74 Gleichstrom Servo Motor 1 as is where is immediately
71907 Hamamatsu C7103 PC Controlled IC Back-side Lapping and Wafer Grinding System 200 mm and packages 01.09.2001 1 as is where is immediately
91397 HITACHI IS2700SE Dark Field inspection 1 as is where is
109578 HITACHI CM-700H WIRE BONDER ASSEMBLY 01.05.2007 20 inquire immediately
91418 HITACHI UA-7200 Stripper/Asher 31.05.2001 1 as is where is
111393 Hitachi 545-5507 SCAN CONTROL PCB for Hitachi S6280H CD-SEM spares 01.05.1994 1 as is where is immediately
108068 Hitachi HL7000M E-Beam Litho (6 inch mask) RETICLE 1 as is where is
108069 Hitachi HL7500M E-Beam Litho (6 inch mask) RETICLE 1 as is where is
108070 Hitachi HL7800M E-Beam Litho (6 inch mask) RETICLE 1 as is where is
103525 HITACHI S4700-l Scanning Electron Microscope Laboratory 31.05.2004 1 as is where is immediately
103526 HITACHI S4700-ll FE Sem with Horriba EMAX EDX Laboratory 31.05.2001 1 as is where is immediately
103527 HITACHI S4700-ll FE Sem with Horriba EMAX EDX (Destocking Status) Laboratory 31.05.2003 1 as is where is immediately
52340 Hitachi 545-5537 IP-PC2 for cd-sem spares 31.05.1994 1 as is where is immediately
52343 Hitachi 377-7592 Power Supply Module for CD SEM spares 31.05.1994 1 as is where is immediately
108159 HITACHI S4700II FE SEM with EDAX (Detecting Unit) 1 as is where is
110781 Hitachi S-7840 High Resolution Imaging and CD-SEM 150 mm to 200 mm 01.09.2000 1 inquire immediately
108752 HITACHI S7000 CD SEM 150 mm 01.05.1989 1 as is where is immediately
18926 HITACHI 131-9007-1 Model U3210 / U3410 Recording spectrophotometer operating procedure 1 as is where is
110324 ICP R48870 Match SPARES 1 inquire
84238 IGUS 07.10.038.0 Belt Spares 1 as is where is immediately
83617 IKO NIPPON THOMPSON LWHT 20 C1 R760 B T1 H S2 LINEAR BEARING AND RAIL 30.11.2008 1 as is where is immediately
108821 INNOLAS ILS 700 P Laser Drill SOLAR 1 inquire
56141 Innolas ILS 700P Laser Edge Isolation 156 mm 01.11.2006 1 as is where is immediately
110676 IPEC 472 CMP Polishing system 150 / 200 1 as is all rebuilt immediately
109184 IPEC 372M Multi-Process CMP 200mm 1 as is where is
98465 IPEC 472 CMP Polishing system 150 MM / 200 mm 3 as is where is immediately
98466 IPEC 472 CMP 150 mm 2 as is where is immediately
109235 IPEC 472 Multi-Process CMP 100mm 1 as is where is
91424 JEOL JSM-6700F FE SEM 1 as is where is
108324 JEOL JWS-7555 SEM - Defect Review (DR) 200mm 1 as is where is immediately
109188 JEOL JWS-7855S Mask Inspection & Repair System RETICLE 1 as is where is
106668 JEOL JWS-7500E SCANNING ELECTRON MICROSCOPE 200 mm 1 as is where is
109558 JEOL JSM-7500F SEM Laboratory 01.05.2009 1 as is where is immediately
108415 Jordan Valley Semiconductors LTD JVX 7200 X-ray Fluorescence Spectrometer 300 mm 1 as is where is
108827 K&S 6497 Semi-Automatic Flip Chip Epoxy Die Bonder ASSEMBLY 1 as is all rebuilt 1 month
108756 K&S 1471 Automatic wedge bonder Assembly 2 as is where is immediately
109189 Keysight / Agilent / Hewlett-Packard (HP) 4073A Parametric Tester TEST 1 as is where is
109190 Keysight / Agilent / Hewlett-Packard (HP) 4073B Parametric Tester TEST 1 as is where is
84000 KLA 750-653120-00C0 Power Line Conditioner / Transformer for KLA 2122 SPARES 31.01.1996 1 inquire immediately
86304 KLA 1007 Chuck, prober, 6" gold chuck assembly 200 mm 1 inquire immediately
53026 KLA 715-023506-00 Complete lead screw and stepping motor for KLA 2xx reticle inspection system spares 1 as is where is immediately
34115 KLA 740-401-320 P-N 073-401-320 AIRLOCK 1
110660 KLA 7700 Surfscan wafer particle detection system 100 - 200 mm 1 as is where is immediately
34119 KLA 7700M (SPARES) Lens PCB 042763 Spares 1
34121 KLA 7700m robot Dist. PCB 1 as is where is immediately
34122 KLA 7700m Keyboard Assy 1
34123 KLA 7700m 253537 Rev A PCB Microscope Dist 1
84301 KLA 710-658036-20 Alignment Processor board REV C3 Spares 1 as is where is immediately
84302 KLA 710-658041-20 Alignment PRocessor Phase 3 Board REV E0 Spares 1 as is where is immediately
84303 KLA 710-658046-20 PRocessor Board REV E0 Spares 1 as is where is immediately
84304 KLA 710-658177-20 Interpolator phase 3 Board REV F1 Spares 2 as is where is immediately
84305 KLA 710-658172-20 Y Interpolator C,PH3 Board REV J1 Spares 2 as is where is immediately
84306 KLA 710-655651-20 Cornerturn 3 PC board REV C0 Spares 1 as is where is immediately
106066 KLA 710-029946-00 Rev:XE Corrector-Formatter PCB M2A only spares 01.06.1990 1 as is where is immediately
84307 KLA 710-659412-00 Mass Memory PCB REV C0 Spares 1 as is where is immediately
34131 KLA 7700m Pittmann Motor 94337528 Microscope driver 1
84308 KLA 710-658232-20 Memory Controller Phase 3 PC board REV H1 Spares 1 as is where is immediately
34132 KLA 7700m 181137 Drive Assy PCB 1 as is where is immediately
84309 KLA 710-658086-20 PC Board, REV E0 Spares 1 as is where is immediately
34135 KLA 7700m 174203 Rev D PCB Flat finder pwd Driver 1 as is where is immediately
34138 KLA 7700m (spares) p/n 252948 Rev B Motor Lamp Drive SFS 76 SPARES 1 as is where is immediately
34139 KLA 7700m Front Panel PCB 1 as is where is immediately
34143 KLA 7700m 181830 Rev D, National Instruments AT-GPIB/TNT 1
34144 KLA 7700m EMO Switch 1
106080 KLA 710-023236-00 Rev B1 P3 Scan delay FIR Filter PCB Spares 1 as is where is immediately
34145 KLA 7700m Leadscrew and Servo Motor CMC MT2115-014DF 1
106081 KLA 710-022410-01 Rev A5 AR GRAPHICS DISPLAY CONTROLLER 2 PCB Spares 1 as is where is immediately
106082 KLA 710-029421-00 Rev C2 SERVO DRIVE 2 III PCB Spares 1 as is where is immediately
34147 KLA 7700m Mirror Assy with Fiber Optic 1
106083 KLA 710-023589-00 Rev B2 SERVO DRIVE 1 III PCB Spares 1 as is where is immediately
106084 KLA 710-101836-02 Rev G3 AUTOFOCUS 2 PCB Spares 1 as is where is immediately
34154 KLA 7700m 201989 Concave Mirror 1
34160 KLA 7700m Detector Assy 1
34161 KLA 7700m Mouse & PCB 240C 1
34162 KLA 7700m Convex Glass Plate 1
34163 KLA 7700m two cables 7 brackets 1
34164 KLA 7700 Misc Bracket 1
34167 KLA 7700m Photomultiplier 1
106106 KLA 710-022400-01 D5 Graphic Display Controller 1 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106107 KLA 710-023602-00 B Display System Trap, RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106108 KLA 710-023256-00 C2 P3 Data Input PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106109 KLA 710-023141-00 Assy, Formatter p3 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106110 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 01.06.1991 1 as is where is immediately
106111 KLA 710-028014-01 E ADBA PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106112 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106113 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106114 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106115 KLA 710-023596-00 C2 Alignment Error Detector P3 RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106116 KLA 710-039524-00 A AP 1 210 series PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106117 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106118 KLA 710-028014-00 B3 Image Memory Address PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106119 KLA 710-028001-00 A1 Image Memory PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106120 KLA 710-037887-01 B BMMC M2A with RIA signal mod. PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106121 KLA 710-029767-00 REV D UPLL RF PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106122 KLA 710-036420-00 XB Defect Concatenator 4 PCB -IAS compatible-newest version PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106123 KLA 710-036380-00 C IAS parameter 2 PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106124 KLA 710-023279-00 H2 04/16 level dump PCB for KLA 2xx reticle inspection system Spares 01.09.1991 1 as is where is immediately
108428 KLA Surfscan 7700 Particle Measurement 200 MM 1 as is where is
106125 KLA 710-040042-00 XB e-series detector, threshold 2x2 259 M2A PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106126 KLA 710-023455-00 XC e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106127 KLA 710-029694-00 XF e-series detector,data 259 ROQ PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106128 KLA 710-023455-00 XC e-series detector, compare, 21xe PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106129 KLA 710-039924-00 A1 SERVO DRIVE 3 IV PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106130 KLA 710-028287-01 G1 servo 4 II PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106131 KLA 710-023599-01 B1 Computer IF (RF) PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106132 KLA 710-037718-00 C SBC 3.5 inch floppy PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106133 KLA 710-023273-00 C 512 K ram, 210 series PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106134 KLA 710-037717-00 A FDD controller, 3.5 inch PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106135 KLA 710-029924-00 REV F Preprocessor 1 (RF) PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106136 KLA 710-029927-00 REV E Preprocessor 2 (RF) PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
106137 KLA 710-037889-00 Rev XA Preprocessor I/O PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106138 KLA 710-036106-00 REV D Defect Highlighting Control 3 PCB for KLA 2xx reticle inspection system Spares 01.09.1992 1 as is where is immediately
106140 KLA 710-037699-00 XA1 gain/offset testpoint board PCB for KLA 2xx reticle inspection system Spares 1 as is where is immediately
106141 KLA 710-102570-02 Rev 1 Autofocus Pre-Amplifier PCB PCB for KLA 2xx reticle inspection system Spares 2 as is where is immediately
27807 KLA 720-05887-000 MCP Detector Control Chassis spares 3 as is where is immediately
106143 KLA 740-064388-000 RETICLE TRANSFER SYSTEM FOR 5 AND 6 INCH PHOTOMASKS 5 INCH/6 INCH 01.06.1999 1 as is where is immediately
106146 KLA 740-210171-00 ORH Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system spares 01.05.1992 1 as is where is immediately
83635 KLA 712-023914-00 rev B CCD TV camera for KLA 2XX reticle inspection system SPARES 1 as is where is immediately
108989 KLA 710-661729-00 CD0 S.A.T. RANDOM DEFECT PROCESSOR PCB FOR KLA 21XX Spares 01.08.1996 1 as is where is immediately
4288 KLA 710-101836-02 REV D AUTOFOCUS II POWER AMP Spares 1 as is where is
4289 KLA 710-102570-02 AUTOFOCUS PRE-AMPLIFIER Spares 2 as is where is
1736 KLA 710-101836-02 AUTOFOCUS 2 HV PCB for KLA 2xx reticle inspection system SPARES 01.01.1985 1 as is where is immediately
106189 KLA 740-401-320 AIRLOCK ASSEMBLY PCB Spares 01.09.1989 1 as is where is immediately
84216 KLA 740-100360-00 ORH Mask Holder for 250 Mil x 6 inch masks for KLA 2xx reticle inspection system Spares 01.05.1992 1 as is where is immediately
84218 KLA 740-100059-00 GWH Glass Wafer Holder, 250-150 mm P1, for KLA 2xx reticle inspection system Spares 01.05.1992 1 as is where is immediately
109562 KLA 2367 Brightfield wafer defect inspection system 200 mm 01.09.2006 1 as is where is immediately
86672 KLA Tencor 710-661729-00 PC Board, KLA 21XX Spares 1 as is where is immediately
34117 KLA -TENCOR 7700 CASSETTE PLATE + PCB 8" SPARES 01.09.1995 1 immediately
34126 KLA -Tencor 7700m (Spares) Mirror Curved SPARES 1 as is where is immediately
34137 KLA -Tencor 7700m p/n 199958 Rev F PCB PSF Driver SFS75 SPARES 1 as is where is immediately
83562 KLA -TENCOR 7700M (Spares) CONCAVE MIRROR 201969 - Optical part from KLA 7700M Surfscan Spares 1 as is where is immediately
34140 KLA TENCOR 7700M COMPUTER HARD DISK 1 as is where is immediately
83555 KLA TENCOR 720-05721000 CONTROL CHASSIS FOR KLA 8100 Column Control Chassis 2 as is where is immediately
83572 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS SPARES 01.02.1997 1 as is where is immediately
83574 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS 150 WATTS 01.02.1997 1 as is where is immediately
83577 KLA TENCOR 7700 M MODEL 2214-30SLOTT D1,D2,D3 01.09.1998 1 as is where is immediately
83581 KLA TENCOR 720-05888-000 TFE GUN CONTROLLER CHASSIS 230 WATTS 01.02.1997 1 as is where is immediately
108165 KLA TENCOR Surfscan 7200 Surfscan wafer particle detection 150 mm,200 mm 01.06.1991 1 as is where is
108166 KLA TENCOR Surfscan 7200 Surfscan wafer particle detection 150 mm,200 mm 01.06.1990 1 as is where is
27808 KLA TENCOR 720-07335-000 ADVANTECH COMPUTER ICP-6751 FOR KLA 81XX CD SEM Spares 1 as is where is immediately
21670 KLA Tencor 213780 REV C PCB PFE 4K MASK ASSY SFS 7500 SPARES 01.02.1996 1 inquire immediately
83895 KLA TENCOR 7700M (Spares) PIN DIODE PRE AMP PCB SPARES 31.05.1992 1 as is where is immediately
83898 KLA TENCOR 7700M (Spares) Optical sub-assembly SPARES 1 as is where is immediately
83899 KLA TENCOR 7700M (Spares) Lens assembly SPARES 2 as is where is immediately
83900 KLA TENCOR 7700 Mechanical part SPARES 1 as is where is immediately
27801 KLA- TENCOR 720-05721-000 Column Control Chasis for KLA 8100 cd sem SPARES 3 as is where is immediately
34149 KLA-TENCOR 242163 Rev B PCB ADC-PFE Interface S76 PCB FOR KLA 7700M spares 1
84411 KLA-Tencor 7700M (Spares) Electro-optical assembly for KLA Surfscan 7600 and 7700 SPARES 31.05.1995 1 as is where is immediately
53036 KLA-Tencor Compumotor M575L11 Stepping motor drive spares 1 as is where is immediately
76358 KLA-Tencor 710-039524-01, rev C Alignment Processor 2 , 210e series PCB Spares 1 as is where is immediately
91464 KLA-Tencor PROMETRIX FT750 Film Thickness Measurement 200 mm 1 as is where is immediately
91466 KLA-Tencor PROMETRIX FT750 Film Thickness Measurement 1 as is where is
34125 KLA-Tencor 7700m (spares) Mirror Assy Flat spares 1 as is where is immediately
34127 KLA-Tencor 7700m (Spares) p/n 186392A PCB Controller Handler spares 1 as is where is immediately
34130 KLA-tencor 7700m (Spares) 18458 Rev B $ CH Motor Control SPARES 1 as is where is immediately
34134 KLA-tencor 7700m (Spares) 210617 rev B PCB Filter Optical spares 1 as is where is immediately
34136 KLA-Tencor 7700m (Spares) p/n 099660 Handler back plane PCB SPARES 1 as is where is immediately
83810 KLA-Tencor 7700M (Spares) leadscrew and stepper motor for KLA Surscan 7700m, 7600 microscope spares 31.05.1997 1 as is where is immediately
34148 KLA-Tencor 7700m (Spares) p/n 210595 Rev B Optical Filter PCB spares 1 as is where is immediately
34150 KLA-Tencor 7700m 242163 Rev B PCB ADC-PFE Interface S76 spares 1 as is where is immediately
34152 KLA-Tencor 7700m (spares) p/n 213780 Rev C PCB MASK SFS spares 1 as is where is immediately
34153 KLA-Tencor 7700m (spares) Fresnel Lens / Mirror SPARES 1 as is where is immediately
84087 KLA-TENCOR 7700M (Spares) Mirror assembly for KLA 7700 m surfscan Spares 1 as is where is immediately
84088 KLA-TENCOR 7700M (Spares) Optical Lens assembly from a KLA 7700 M Surfscan Spares 1 as is where is immediately
84089 KLA-TENCOR 7600M ELECTRO-OPTICAL ACTUATOR ASSY Spares 28.02.1998 1 as is where is immediately
84091 KLA-TENCOR 113387 4-CHANNEL PWM MOTOR DRIVE ASSY PCB FOR KLA 7XXX SURFSCAN, P2 and P20 profilers Spares 31.05.1995 1 as is where is immediately
83837 KLA-TENCOR 7700M (Spares) EMO Button for KLA 7700M SPARES 1 as is where is immediately
84093 KLA-TENCOR 665-037138-00 MICROSCOPE MASK FOR KLA 2XX RETICLE INSPECTION SYSTEM Spares 1 as is where is immediately
110726 KLA-Tencor OmniMap AutoRS75TC Wafer Resistivity Measurement 150-200 mm 01.06.1996 1 as is where is immediately
105866 KLA-Tencor Surfscan 7700m Keyboard Assy 1 as is where is immediately
74643 KLA-Tencor 710-013838-00 Rev L PCB Universal Video Mux for KLA 2xx reticle inspection systems spares 01.06.1993 1 as is where is immediately
18599 KLA-Tencor 710-401249-01 Rev F DRIVER BOARD for KLA 5xxx SPARES 31.05.1992 1 as is where is immediately
18600 KLA-Tencor 710-401249-01 Rev F Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18602 KLA-Tencor 750-40426.. 5xxx Spare Part BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
18603 KLA-Tencor 710-401536-00 FOR kla 5XXX ASSY NO 401536 00 ENCODER INTERFACE Spares 31.05.1992 1 as is where is immediately
18604 KLA-Tencor 710-401249-00 REV E for kla 5xxx Driver Board for KLA 5xxx Spares 31.05.1992 1 as is where is immediately
18605 KLA-Tencor 710-404146-00 REV A for KLA 5XXX ASSY BOARD FOR KLA 5XXX Spares 31.05.1992 1 as is where is immediately
18606 KLA-Tencor 750-400159-00 REV A for KLA 5xxx MATROX VIP 1024 for a KLA 5XXX overlay system Spares 31.05.1992 1 as is where is immediately
18607 KLA-Tencor 730-400083-00 REV G for a KLA 5xxx PZT CONTROLLER for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18608 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx ASSY BOARD for a KLA 5xxx overlay system Rev. B 31.05.1992 1 as is where is immediately
18609 KLA-Tencor 710-400412-00 Rev K PCB for a KLA 5xxx system sp 31.05.1992 1 as is where is immediately
18610 KLA-Tencor 712-404056-00 Rev B for a KLA 5xxx PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
18611 KLA-Tencor 750-400339-00 Rev H from a KLA 5xxx PCB for a KLA 5xxx system Spares 01.05.1992 1 as is where is immediately
18612 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system SPARES 31.05.1992 1 as is where is immediately
18871 KLA-TENCOR 546860-27 Operation manual 1 as is where is
18872 KLA-TENCOR 563234-27 Reference manual 1 as is where is
83897 KLA-Tencor 7700M (Spares) 8 inch ceramic chuck table for KLA 7700M SPARES 01.08.1995 1 as is where is immediately
84410 KLA-TENCOR 7700M SENSOR OPTICAL SPARES 1 as is where is immediately
18875 KLA-TENCOR 563226-27 Software version 5.0 manual 1 as is where is
18876 KLA-TENCOR 546879-27 Software version 4.1 manual 1 as is where is
83901 KLA-Tencor 655-03737-00 Rev XA Mechanical part for a KLA 7700 M (Surfscan) SPARES 1 as is where is immediately
18622 KLA-Tencor 750-404260 for KLA 5XXX BIT 3 COMPUTER COP for 5xxx Spare Part Spares 31.05.1992 1 as is where is immediately
103366 KLA-TENCOR 7700M (Spares) Robot Arm, for up to 8 inch wafers, for KLA 7700 M Spares 31.05.1993 1 as is where is immediately
18632 KLA-Tencor 073-401-320 for a kla 5xxx AIRLOK PCB for a KLA 5xxx system Spares 31.05.1992 1 as is where is immediately
83918 KLA-TENCOR 7700M (Spares) Adjustable Opto Mechanical assembly for KLA 7700 Surfscan SPARES 1 as is where is immediately
83929 KLA-TENCOR 720-02847-000 MCA Module for KLA 81xx CD SEM spares 31.05.1998 1 as is where is immediately
83930 KLA-TENCOR 720-02964-000B PICOAMP II for KLA 81xx CD SEM SPARES 2 as is where is immediately
53227 KLA-Tencor 251739 CH3 PMT OPTICS ASSY AIT2 SPARES 01.12.1989 1 as is where is immediately
84215 KLA-TENCOR 7700M (Spares) AT GPIB IEE 488.2 Interface PCB for a KLA 7700 M Spares 01.05.1993 1 as is where is immediately
84217 KLA-TENCOR 740-210171-00 Mask Holder for 5 inch x 0.090 thickness masks, with compensation glass, KLA 2XX spares 01.05.1992 1 as is where is immediately
84219 KLA-TENCOR 740-210171-00 Rev C OHR Reticle holder, for 5 inch 090 Masks, Left handed for KLA 2xx reticle inspection system spares 01.05.1992 1 as is where is immediately
84220 KLA-TENCOR 253537 Rev A Microscope Distribution PCB, for Surfscan 7600 and 7700 series Spares 1 as is where is immediately
35971 KLA-Tencor Corp. 720-05888-000 Electron gun controller for KLA 8100 spares 01.06.1998 2 as is where is immediately
80185 KNF Neuberger 057359 Diphagrahm service kit for N40.3FT pump 01.01.2012 2 as is where is immediately
83880 KOGANEI AME07-E2-PSL VACUUM EJECTOR 8 as is where is immediately
108837 KOKUSAI VR70 Resistivity Test Tool 1 inquire
109438 KYOSAN HPK06ZI-TE7-SINGLE RF GENERATOR Spares 17 as is where is
109445 LAM 853-085372-114 RF GENERATOR Spares 1 as is where is
109446 LAM 853-085375-015 RF GENERATOR Spares 1 as is where is
105861 Lam Research 853-495477-001 Rev B Cable Spares 30.11.2000 4 as is where is immediately
105862 Lam Research 853-017410-003 REV A Assy. cable.LWR match,Pk det. Spares 30.11.2000 5 as is where is immediately
110998 Lam Research 857-073710-002 KIT,SHIM,CLAMP - FLEX45/DS/DX Spares 5 inquire
110999 Lam Research 857-027108-001 KIT,CHOKE RING - FLEX45/DS/DX Spares 5 inquire
111000 Lam Research 857-027108-100 KIT,CHOKE RING,TCP TO TP FLD SERIES - FLEX ES/EX+ Spares 5 inquire
111001 Lam Research 857-120208-010 KIT GSKT THRM TP TO CHOKE - FLEX FX Spares 5 inquire
111002 Lam Research 857-120209-009 KIT GSKT THRM CHOKE TO HTR - FLEX FX Spares 5 inquire
111003 Lam Research 857-120209-305 KIT GSKT THRM CHOKE - FLEX HX Spares 5 inquire immediately
111004 Lam Research 857-120210-008 KIT GSKT THRM HTR TO BP - FLEX FX Spares 5 inquire immediately
111005 Lam Research 857-120210-302 KIT GSKT THRM CHOKE - FLEX HX Spares 5 inquire immediately
111006 Lam Research 713-066269-002 FIT RING GAST GUARD ABE - ABE Spares 5 inquire immediately
111007 Lam Research 713-069935-003 GSKT GUARD, OUTER ELECTD(TCP) - FLEX ES/EX+ Spares 5 inquire immediately
111008 Lam Research 713-072792-003 GSKT, GUARD, OUTER ELECTD - FLEX45/DS/DX Spares 5 inquire immediately
111009 Lam Research 713-073439-010 RING, HER, FLEX45 - FLEX45 Spares 5 inquire immediately
111010 Lam Research 410-01754-026-RW KIT, THERMAL SHIM, CIP1 - CIP1 Spares 5 inquire immediately
111011 Lam Research 714-072727-048 GASKET(Q310-575924), FLEX/DS - FLEX45/DD/DS/DX Spares 5 inquire immediately
111012 Lam Research 857-065064-004 KIT, GASKET, ABE - ABE Spares 5 inquire immediately
111013 Lam Research 857-072806-005 KIT, GASKET, ELCTD - FLEX45/DS/DX Spares 5 inquire immediately
111014 Lam Research 857-073299-001 KIT, GASKET, TOP ELCTD - FLEX45/DS/DX Spares 5 inquire 6 months
111015 Lam Research 857-073299-002 TCP Q-PAD KIT - FLEX ES/EX+ Spares 5 inquire immediately
111016 Lam Research 857-085550-026 ELECTRODE GASKET KIT FOR FLEX EX+ Spares 5 inquire immediately
111017 Lam Research 857-085550-046 KIT GSKT ELECTD - FLEX GX/GXE Spares 5 inquire immediately
111018 Lam Research 857-085550-822 KIT GSKT ELECTD - FLEX FX Spares 5 inquire immediately
111019 Lam Research 719-078253-060 RING GASKET, GEL, CER 509 - FLEX FX Spares 5 inquire immediately
111020 Lam Research 719-078253-103 PKG, GASKET, GEL, CER, WIDE - FLEX FXP Spares 5 inquire immediately
111021 Lam Research 713-072728-130 RING, HTSK, ESC/CPLNG, 300MM, SP - FLEX EX Spares 5 inquire immediately
111022 Lam Research 714-072728-117 HEAT SINK R - FLEX EX+ Spares 5 inquire immediately
111026 Lam Research 716-069688-005 ELCTD,INR,SI,300MM - 2300 Flex Spares 5 inquire
111027 Lam Research 716-082039-001 ELCTD,OUTER, .18 STEP, 300MM - 2300 Flex Spares 5 inquire
111028 Lam Research 716-023013-037 RING,HE, 38X ESC,RND, SGL XTL, 300MM - 2300 Flex Spares 5 inquire
111029 Lam Research 716-017112-533 RING,WAP,17.07X1.4THK - 2300 Flex Spares 5 inquire
111030 Lam Research 716-014843-303 RING,WAP,QTZ,GENERIC,17.07ID,300MM - 2300 Flex Spares 5 inquire
111031 Lam Research 716-013402-008 COV,H/E RING,QTZ - 2300 Flex Spares 5 inquire
111032 Lam Research 716-018468-092 RING,COVER,OUTER,GND - 2300 Flex Spares 5 inquire
111033 Lam Research 716-014843-360 RING,WAP,QTZ,GENERIC,17.07ID,300 - 2300 Flex Spares 5 inquire
111034 Lam Research 716-013402-063 COV,H/E RING,QTZ - 2300 Flex Spares 5 inquire
111035 Lam Research 716-801667-003 WIN, QTZ - 2300 Flex Spares 5 inquire
111036 Lam Research 716-801568-002 WIN, QTZ - 2300 Flex Spares 5 inquire
111037 Lam Research 716-012640-011 WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Star-T Spares 5 inquire
111038 Lam Research 716-012639-024 NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Star-T Spares 5 inquire
111039 Lam Research 716-026652-902 RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T Spares 5 inquire
111040 Lam Research 716-026652-922 RING,EDGE,BOT,QTZ,200-300MM - 2300 Star-T Spares 5 inquire
111041 Lam Research 716-031257-531 RING,EDGE,TOP,QTZ,EBP,300MM - 2300 Star-T Spares 5 inquire
111042 Lam Research 716-031257-561 RING,EDGE,TOP,QTZ,EBP,300MM - 2300 Star-T Spares 5 inquire
111043 Lam Research 716-008608-001 WDO,SAPPHIRE,25MM X.087 - 2300 Star-T Spares 5 inquire
111044 Lam Research 716-801568-002 WIN, QTZ - 2300 Star-T Spares 5 inquire
111045 Lam Research 716-012640-012 WDO,GAS INJ,FACE SEAL,QRTZ,NSG - 2300 Kiyo Spares 5 inquire
111046 Lam Research 716-012639-024 NOZ,INJ,8X .055-45DEG RDL-1CTR - 2300 Kiyo Spares 5 inquire immediately
111047 Lam Research 716-026652-002 RING,EDGE,BOT,200-300MM - 2300 Kiyo Spares 5 inquire
111048 Lam Research 716-026652-011 RING,EDGE,BOT,200-300MM - 2300 Kiyo Spares 5 inquire
111049 Lam Research 716-031257-534 RING,EDGE, TOP, EBP,2300 - 2300 Kiyo Spares 5 inquire
111050 Lam Research 716-031257-564 RING,EDGE, TOP, EBP,300MM - 2300 Kiyo Spares 5 inquire
111051 Lam Research 716-008608-001 WDO,SAPPHIRE,25MM X.087 - 2300 Kiyo Spares 5 inquire
111052 Lam Research 716-801568-002 WIN, QTZ - 2300 Kiyo Spares 5 inquire
111053 Lam Research 716-801568-002 WIN, QTZ - 2300 Versys Metal Spares 5 inquire
18900 LAM RESEARCH 406-240122-007 TCP 9600SE Drawing and schematics Vol 2 1 as is where is
18903 LAM RESEARCH 406-240121-007 TCP 9600SE Drawing and schematics Vol 2 1 as is where is
18907 LAM RESEARCH 406-240203-074 TPC 9400/9600 PTX alliance installation guide 1 as is where is
18909 LAM RESEARCH 407-240100-001 TCP 9400 illustrated part identifier 1 as is where is
18913 LAM RESEARCH 406-240203-074 TCP 9400/9600 PTX alliance installation guide 1 as is where is immediately
18919 LAM RESEARCH 406-240101-007 Drawings and schematics Vol2 2 as is where is
106484 Laurier DS-7000T/R Die Pick and Sort 150 mm/200 mm 01.11.1999 1 as is where is immediately
77003 Leybold 287 02 V2 Pump Valve PUMP 1 as is where is immediately
18845 MATTSON 17500675-00 Aspen OEM manual volume I 2 as is where is
18846 MATTSON 17500675-00 Aspen OEM manual volume II 3 as is where is
18847 MATTSON 17500675-00 Aspen OEM manual volume III 3 as is where is
82220 Milton Roy AA761-65S Flow rate pump 1 as is where is immediately
111405 MKS AX7685-20-C Astek Astron E Remote Plasma Cleaner 1 as is where is immediately
77940 MKS 627BX01MCC1B Baratron 1mbar (CAPACITANCE MANOMETER) Spares 1 as is where is immediately
109454 MKS AX7650 Remote Plasma Cleaner Spares 1 as is where is
109455 MKS AX7657-2 Remote Plasma Cleaner Spares 5 as is where is
84372 MS PRG WO A9403789 MOUSE MODEL No.240C 01.02.1999 1 as is where is immediately
106703 N&K ANALYZER 5700-CDRT Wafer Inspection System 1 as is where is
106704 n&k Technology 6700-CDRT Wafer Inspection System 1 as is where is
91530 NANOMETRICS NANOMETRICS 9-7200-0195E Mask & Wafer Inspection 200 mm 1 as is where is
91143 NEC NEC SL-473F Si Wafer Marker 1 as is where is immediately
107009 NESLAB HX+75 A/C Process Module Chiller Facilities 01.06.1995 1 as is where is immediately
108850 NESLAB CFT-75 Recirculating Water Chiller CHILLER 1 inquire
94594 Neslab 1033-0017-001 Chiller CHILLER 1 as is where is
108769 NESLAB HX-750 Air Cooled Recirculating Water Chiller, Damaged Compressor 1 as is where is
108770 NESLAB HX-75 Recirculating Water Chiller w/Digital Temp Ctlr, Water Cooled 1 as is where is
108852 NEUTRONIX/QUINTEL 7000 Mask Aligner, with IR Backside Alignment, for up to 6" Wafers 1 inquire
108853 NICOLET Avatar 370 DTGS FT-IR Spectrometer 1 inquire
109057 Nikon NSR-S307E 193 nm (ArF) excimer exposure system 300 mm 1 as is where is immediately
105867 Nisshin 7 kgf/cm2 pressure gauge spares 1 as is where is immediately
105869 Nisshin 0-76 cmHg vacuum gauge spares 1 as is where is immediately
111104 Nissin EE04537 Arc Terminal Fitting - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111106 Nissin 17107405-A Beam Dump Tile - 3000 3000(W) Spares 5 inquire
111107 Nissin EE05017-0 Gas Spacer - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111232 NISSIN SOK30-2070 Crucible - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
111269 NISSIN SOK30-2097 Insulator - 2300&3000 2300 (Nissin) 3000(Mo) 3000 (W) Spares 5 inquire
111273 NISSIN SOK30-2137 Electrode 2 - 3000 3000(MO) Spares 5 inquire
111274 NISSIN EE-04973 Sheath Nut - 3000 3000(MO) 3000(W) Spares 5 inquire
111280 NISSIN EE04973-0 Sheath Nut - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111097 Nissin NIE79483-2 Carbon Lining 2 - All Common 2300 (2nd) 3000 (W) 3000 (IHC-R2) 9600 (IHC-R2) Spares 5 inquire
111099 Nissin NIE47348-2-B Aperture (2) : B Type - 2300&3000 2300 (2nd) 3000 (W) Spares 5 inquire
108191 NOVELLUS 676 CMP System Polisher 200 mm 01.06.1997 1 as is where is
111375 NP Test NPR-507L Power Plasma Reactor 1 as is where is immediately
83567 Numatics 225-272B Solenoid Valve 01.04.2009 1 as is where is
74179 Numatics 227-833B valve 3 as is where is
83916 NUPRO 7 MICRON 1 as is where is immediately
83917 NUPRO 107 1 as is where is immediately
84232 Pepperl Fuchs OBE5000-18GM70-SE5 proximity sensor Spares 1 as is where is immediately
84234 pepperl&fuchs OBE5000-18GM70-E5-V1 Proximity sensor Spares 1 as is where is immediately
84073 Pfeiffer PTR26761A Pirani Gauge, D-35614 TPR265 Spares 01.06.2001 2 as is where is immediately
91330 Plasma Therm Wafer/ Batch 740 DUAL PLASMA ETCH AND RIE 4" 1 as is where is
91331 Plasma Therm Wafer/ Batch 740 DUAL PLASMA ETCH AND RIE 4" 1 as is where is
108863 PLASMA-THERM 790 Reactive Ion Etcher, Refurbished - Call for Details 1 inquire
108864 PLASMA-THERM SLR770 Inductively Coupled Etcher with Load-Lock, Refurbished - Call for Details 1 as is all rebuilt immediately
106759 Plasmatherm SLR 770 Dual Chamber R.I.E. 200 mm 01.06.1994 1 as is where is immediately
109586 Plasmatherm SLR 720 RIE Etcher 150 mm 1 inquire
110613 PlasmaTherm SLR 740 Dual Chamber RIE / Plasma etch 200 mm 01.04.1999 1 as is where is immediately
109599 Plasmatherm 790 Reactive Ion Etcher 200 mm 1 inquire
31246 PMS Liquitrack 776200 Non volatile residual Monitor for water-quality checking facilities 01.10.1999 1 as is where is immediately
83506 POWERTEC 9J5-360-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.04.1994 1 as is where is immediately
83507 POWERTEC ASTEC 9J8-200-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 31.01.1994 1 as is where is immediately
83508 POWERTEC ASTEC 9K2-300-372 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.04.1994 1 as is where is immediately
83509 POWERTEC ASTEC 9J12-130-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 31.05.1994 1 as is where is immediately
83510 POWERTEC ASTEC 6C32-EE-371 SUPER SWITCHER TM SERIES POWER SUPPLY 220 VOLTS 30.06.1994 1 as is where is immediately
83928 PULNIX TM-7EX VIDEO CAMERA WITH FUJI NF35A-2 LENS 01.12.1998 1 as is where is immediately
108479 Raytex RXW-1227 EdgeScan Edge Defect 1 as is where is
84239 Raytheon ELC-14947 Directed light assembly, for ESI Spares 1 as is where is immediately
110390 RF Services RFS 500/700 Match SPARES 1 inquire
110391 RF Services RFS 500/700 Match SPARES 1 inquire
110392 RF Services RFS 500/700 Match SPARES 1 inquire
110393 RF Services RFS 500/700 Match SPARES 1 inquire
110394 RF Services RFS 500/700 Match SPARES 1 inquire
110395 RF Services RFS 500/700 Match SPARES 1 inquire
110396 RF Services RFS 500/700 Match SPARES 1 inquire
110397 RF Services RFS 700 Match SPARES 1 inquire
110398 RF Services RFS 712 Match SPARES 1 inquire
107758 RF Services RFS 500/700 RF Match Spares 1 as is where is immediately
109522 RFPP 7600001010 RF GENERATOR Spares 1 as is where is
109523 RFPP 751031301F RF GENERATOR Spares 2 as is where is immediately
106827 Rorze RR701L1521-3A3-111-3 Dual arm Atmospheric wafer handling robot NA 1 as is where is immediately
106828 Rorze RR713L1521-3A3-E13(E11)-1 Dual arm Atmospheric wafer handling robot 200 mm 1 as is where is immediately
106829 Rorze RR717L1521 Dual arm Atmospheric wafer handling robot 300mm 1 as is where is immediately
106830 Rorze Wafer sorter with RR717L1521 robot wafer sorter for 300mm wafer 300mm 3 inquire immediately
98490 Rorze RR701L1521-3A3-111-2 Dual arm Atmospheric wafer handling robot 200 mm 1 as is where is immediately
98491 Rorze RR701L90-Z20-616 Dual arm Atmospheric wafer handling robot 200mm 1 as is where is immediately
106188 Rorze RR713L1521-3A3-E11-0 Dual arm Atmospheric wafer handling robot with controller Spares 1 as is where is immediately
100929 RUDOLPH FE-7 Ellipsometer 200 mm 31.05.1996 1 as is where is
108711 Rudolph Sonus 7800 Acoustic Metrology and Defect Detection System 300 MM 01.06.2015 1 inquire
84364 Semitool PA7230M SRD Rotor spares 1 as is where is immediately
84365 Semitool A72-20M SRD Rotor Spares 1 as is where is immediately
108203 SEMITOOL ST 470 1 as is where is
110477 Seren I1827MWF RF Generator SPARES 1 as is where is immediately
110478 Seren I1827MWF RF Generator SPARES 1 as is where is immediately
110479 Seren I1827MWF Generator SPARES 1 inquire
110480 Seren I1827MWF Generator SPARES 1 as is where is immediately
110481 Seren I1827MWF RF Generator SPARES 1 as is where is immediately
84256 SMC WO 36517 RODLESS CYLINDER 01.02.1994 1 as is where is immediately
77152 SMC RL17858 1030567 0.8MPS RODLESS PNEUMATIC CYLINDER *NEW* 01.01.2008 1 as is where is immediately
77163 SMC CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE Spares 1 as is where is immediately
83612 SMC CDRB2BWU20-270S ACTUATOR, ROTARY, VANE TYPE Spares 1 as is where is immediately
110508 SPTS A48870R Match SPARES 1 inquire
110510 SPTS AS307416.07 Match SPARES 1 inquire
110511 SPTS AS310777.04 Match SPARES 1 inquire
110513 SPTS AS317624.A Match SPARES 1 inquire
110514 SPTS AS317624.H Match SPARES 1 inquire
110515 SPTS AS318775.07 Match SPARES 1 inquire
110516 SPTS AS318775.07 Match SPARES 1 inquire
108773 SSM 470i CV Plotter 1 inquire
18864 STEAG AST 98050479 AST machine documentation 1 as is where is
109605 Strasbaugh 7AA-SP Grinder 1 inquire
84382 SUNX SU-7 LO SENSOR & SYSTEM HB 012 3 as is where is immediately
72155 SVG /ASM 128197-001 HEATER ELEMENT, HCGI Spares 31.01.2003 1 as is where is immediately
83919 SWAGELOK 207/235/332 TUBE FITTINGS 4 as is where is immediately
111412 TEKTRONIX 2247A 100MHZ Oscilloscope Countertime 1 as is where is immediately
108598 TEKTRONIX TDS720P Oscilloscope 1 as is where is immediately
108774 TEKTRONIX 7704 Oscilloscope 1 as is where is
77089 TEL TOKYO ELECTRON 3387-002688-12 Tel P8XL Camera assembly Spares 1 as is where is immediately
108126 TEL Tokyo Electron VMU-40-007 Heater for Alpha 8SE furnace spares 1 as is where is
82177 Teradyne 405-097-00 Power-One Dual 5 V Power Supply 150 Amp, 230 VAC, for Teradyne J971 tester Spares 01.06.1997 4 as is where is immediately
78361 Teradyne J971SP (Spares) Boards from VLSI test system Test 01.01.1997 1 as is where is immediately
108607 TERADYNE IP750EX AUTOMATED TEST EQUIPMENT For CMOS Image Sensor testing TEST 01.06.2012 1 as is where is immediately
80220 Teradyne 950-713-00 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 2220 9702 Z18XX Spares 1 as is where is immediately
80222 Teradyne 950-777-01 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 46500L 9513 1 as is where is immediately
80223 Teradyne 950-572-04 Rev. A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 61219 9345 Spares 2 as is where is immediately
80226 Teradyne 950-687-01 rev D Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /D 9752 L200 Spares 1 as is where is immediately
84840 Teradyne 880-751-10 /E Precision Measurement unit PCB, REV E 1 as is where is immediately
83561 Teradyne 405-167-00 Power-One International Series Switching DC Power Supply SPM2E1E1S304 500W I/P 115-230v 12-7A O/P 28VDC 8.6A / 28VDC 8.6A Spares 01.06.1998 1 as is where is immediately
108987 TERADYNE 950-217-04 REV B PC BOARD FOR TERADYNE J971 SP Spares 1 immediately
80321 Teradyne 880-751-10 Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board 61720 9521 1 as is where is immediately
80323 Teradyne 950-574-01 REV A Teradyne J971 PCB, Removed from working system, warehoused, additional numbers on board /A 71620 8541 Z18xx Spares 1 as is where is immediately
80332 Teradyne 950-217-04 Teradyne J971 PCB, 1 as is where is immediately
109006 TERADYNE 517-400-01 APMU Board for J750 tester SPARES 1 inquire immediately
108887 TERRA UNIVERSAL 1679-06-1 SST Dessicator Cabinet , 2ea Available SPARES 1 inquire
108889 THERMONICS T-2427 Temperatuire Forcing System with DUT Cooling Shroud, Needs Compressor Work ASSEMBLY 1 inquire
83877 THK CO.,LCD OR17 BLOCK SR-2V 5 as is where is immediately
83828 TOKIMEC 012-7 DIRECTIONAL CONTROL VALVE 2 as is where is immediately
83641 TOKYO ELECTRON 1D10-317R09-12 PLATE,GALDEN FLOW CHECKER SPARES 1 as is where is immediately
83640 TOKYO ELECTRON / CONTEC FC-SD70 flow meter FLOW CHECKER 1 as is where is immediately
77088 Tolomatic 11240741 Tolomatic cylinder, replacement for AMI tools 1 as is where is
110569 Trazar IG270 Match SPARES 1 inquire
97079 Trumpf TruMicro 7240 Laser source 30.11.2012 1 inquire
106553 Ultratech 4700 Stepper 150 mm/200 mm 01.06.1996 1 as is where is immediately
106554 Ultratech 6700 Stepper 150 mm/200 mm 1 as is where is immediately
111419 ULTRATECH 4700 Stepper 1 as is where is immediately
108610 UNAXIS SLR-720 RIE 150 mm 1 as is where is immediately
109532 UNAXIS SLR-720 REACTIVE ION ETCHER 200mm 01.06.2012 1 as is where is
84078 UNIPHASE 1103P-0187 HE NE Laser Spares 31.12.1994 1 as is where is immediately
111108 Varian E17545650 Stop, Liner Spares 5 inquire
111109 Varian E17903590 Guide 1, Liner Spares 5 inquire
111110 Varian E17904590 Liner, Entrance, Side 1 Spares 5 inquire
111111 Varian E17904820 Cover Plate, Center Liner Spares 5 inquire
111112 Varian E17544910 Liner, Exit, Side 2 Spares 5 inquire
111113 Varian E17699670 Liner, Exit, Side 3 Spares 5 inquire
111114 Varian E17904580 Liner, Centre, Side 1 Spares 5 inquire
111115 Varian E17452940 Inside End Block, 90 Deg Spares 5 inquire
111116 Varian E17903570 Liner, Entrance, Side 1 Spares 5 inquire
111117 Varian E17460333 Liner, Entrance, Source, 90 Degree Spares 5 inquire
111118 Varian E17699660 Liner, Exit, Side 1 Spares 5 inquire
111119 Varian E17903580 Liner, Entrance, Side 2 Spares 5 inquire
111120 Varian E17391351 Shield, Resolver Chamber, 90 Exit, Impreg Spares 5 inquire
111121 Varian E17454233 Liner, End Top Plate, 90 Deg, Textured Spares 5 inquire
111122 Varian E17454243 Liner, End Bottom Plate, 90 Deg Spares 5 inquire
111123 Varian E17298153 Plate, Center, 90 Deg Magnet Spares 5 inquire
111124 Varian E17700663 Shield Wide Chamber Wall 90 Exit Spares 5 inquire
111125 Varian E17580463 Liner, Bottom Exit, 90 Degree Spares 5 inquire
111126 Varian E17580483 Liner, Top Exit, 90 Degree Spares 5 inquire
111127 Varian E17581793 Liner, Entrance, Top, 90 Deg Spares 5 inquire
111128 Varian E17581803 Liner, Entrance, Bottom, 90 Deg Spares 5 inquire
111129 Varian E17904803 Liner, Centre, Top Spares 5 inquire
111130 Varian E17904573 Liner, Centre, Bottom Spares 5 inquire
111131 Varian E17655533 Liner, Exit, Bottom, Textured Spares 5 inquire
111132 Varian E17903563 Liner, Entrance, Top Spares 5 inquire
111133 Varian E17903553 Liner, Entrance, Bottom Spares 5 inquire
111135 Varian E17291350 NUT 4-40, MO, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111136 Varian E17041850R2 FIL PFG V810 MC 1.2MM (THICKER), W, HIGH PURITY - XE Spares 5 inquire
111137 Varian E17291340R2 SCREW, CATHODE, COMMON, MO, HIGH PURITY - HC Spares 5 inquire
111138 Varian E17512680 KEY, ALIGNMENT, IHC MCS HE120, HIGH PURITY - VIISTA HE Spares 5 inquire
111139 Varian E17295210 SCREW SHCS 4-40, MO, HIGH PURITY - VISTA HP HC HCS EHP XE Spares 5 inquire
111140 Varian E17309460R3 PFG FILAMENT , �1.3MM W, HIGH PURITY - HCS Spares 5 inquire
111141 Varian E17414070 SHIELD,GROUND,TUBE,IHC SOURCE AL, HIGH PURITY - HCS Spares 5 inquire
111142 Varian E17299310 C-CLAMP, PFG, SUS, HIGH PURITY - HCS Spares 5 inquire
111143 Varian E17341380 SHIELD,FILAMENT POST, AL, HIGH PURITY - HCS Spares 5 inquire
111144 Varian E17292510 NUTPLATE BARCLAMP IHC SOURCE, MO, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111145 Varian E17293800 NUT FILAMENT TERMINAL PFG, MO, HIGH PURITY - XE/XER Spares 5 inquire
111146 Varian E17058140 NUT FILAMENT CLAMP, MO, HIGH PURITY - XE/XER Spares 5 inquire
111147 Varian E17292050R1 LINER END, CATHODE, MODIFIED, SHALLOW TEXTURE, W, HIGH PURITY - HCS Spares 5 inquire
111148 Varian E17286670 HOOK ARC CHAMBER, W, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111149 Varian E17292050 E17292050 LINER END CATHODE TUNGSTEN - VIISta HP/HC/HCS Spares 5 inquire
111150 Varian E17297920MOD4 FILAMENT, IHC SOURCE 0.05 MM W, MODIFIED, W, HIGH PURITY - HCS Spares 5 inquire
111151 Varian E17292070 LINER END REPELLER TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111152 Varian E17292050 LINER END CATHODE TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111154 Varian E17297920R9 FILAMENT,ELS,SLC, W, HIGH PURITY - HCS Spares 5 inquire
111155 Varian E17296360 CLAMP,CATHODE STRAP,IHC SOURCE AL, HIGH PURITY - HCS Spares 5 inquire
92468 Varian E11040440 Rev 7 Secondary workstation for implanter Spares 01.07.1995 1 as is where is immediately
111156 Varian E17284820 PLATE INSULATOR MOUNTING SINGLE, AL, HIGH PURITY - HC Spares 5 inquire
111157 Varian E17292040 LINER SIDE TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111158 Varian E17284830 PLATE, INSUL MOUNTING, FILAMENTS, IHC SOURCE, AL, HIGH PURITY - HCS Spares 5 inquire
111159 Varian E17292180 LINER BASE TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111160 Varian E17285280 REPELLER IHC SOURCE, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111161 Varian E17089940 SUPPORT ARC CHAMBER CENTER, MO, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111162 Varian E17089930 SUPPORT ARC CHAMBER LEFT HAND, MO, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111163 Varian E17089931 SUPPORT ARC CHAMBER RIGHT HAND, MO, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111164 Varian E17292540 CLAMP FILAMENT IHC 80, TZM, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111165 Varian E17314880 REPELLER ASSY, IHC 3000, VER 3, W, HIGH PURITY - VIISTA HE Spares 5 inquire
111166 Varian E17292540R3 CLAMP FILAMENT IHC 80 FOR FILAMENT ELS SLC, TZM, HIGH PURITY - HCS Spares 5 inquire
111167 Varian E17289910 REPELLER ASSY IHC SOURCE VER. 2, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111168 Varian E17347420R1 LINER SHELL, ANGLE CONTROL AL, HIGH PURITY - HCS Spares 5 inquire
111169 Varian E17324960 CLAMP .05 DIA FILAMENT PFG, TA, HIGH PURITY - XE/XER Spares 5 inquire
111170 Varian E17312370 NOZZLE TUNGSTEN BASE PLATE IHC V80, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111171 Varian E17327340 PLATE END CATHODE IHC 80 VER 3, W, HIGH PURITY - VIISTA HC Spares 5 inquire
111172 Varian E17327340 E17327340 PLATE END CATHODE IHC 80 VER 3 - VIISta HP/HC/HCS Spares 5 inquire
111173 Varian E17312370 E17312370 NOZZLE TUNGSTEN BASE PLATE IHC V80 - VIISta HP/HC/HCS Spares 5 inquire
111174 Varian E17295630R5 E17295630R5] CATHODE SHAFLESS - VIISta HP/HC/HCS except GE Spares 5 inquire
111175 Varian E17220310 END CAP IHC SOURCE VIISTA810 HP EHP, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111176 Varian E17220340 PLATE SIDE IHC ARC CHAMBER V810 HP EHP, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111177 Varian E17304690 END CAP REPELLER IHC 810 VER 2, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111178 Varian E17512690 PLATE, SIDE, IHC MCS, HE120, W, HIGH PURITY - VIISTA HE Spares 5 inquire
111179 Varian E17327330 PLATE END REP IHC 80 VER 3, W, HIGH PURITY - VIISTA HC Spares 5 inquire
111180 Varian E17335820R2 SOURCE APERTURE, BACK PLATE, HC, MODIFIED EXTENDED W, W, HIGH PURITY - HCS Spares 5 inquire
111181 Varian E17295630R7 CATHODE USED ON PH3, 9 MM - VIISTA HE Spares 5 inquire
111183 Varian E17327340R3 PLATE, END, CATHODE, 25MM, W, HIGH PURITY - HCS Spares 5 inquire
111185 Varian E17295630R23 CATHODE ASSY,MODIFIED (BOTTOM 7.6MM), W, HIGH PURITY - HCS Spares 5 inquire
111187 Varian E17335820R4 APERTURE, BACK PLATE (EXTENDED & NON-GUIDE) HC, W, HIGH PURITY - HC Spares 5 inquire
111188 Varian E17441100R4-1 APERTURE, BACK PLATE MAIN, IHC, 3IN1 HCS EXTENDED 3MM THICK W, HIGH PURITY - VIISTA HCS Spares 5 inquire
111189 Varian E17327320 PLATE SIDE IHC 80 VER 3, W, HIGH PURITY - VIISTA HC Spares 5 inquire
111190 Varian E17512700R1 ELECTRODE,SIDE,IHC REDUCE 5MM, MCS SOURCE, W, HIGH PURITY - VIISTA HE Spares 5 inquire
111191 Varian E17292520 BAR, CATHODE, IHC 80, W, HIGH PURITY - HC Spares 5 inquire
111192 Varian E17063221 FEED THRU ASSY DUAL VAPORIZER, W, HIGH PURITY - VIISTA 810 EHP_VAP Spares 5 inquire
111193 Varian E17335810 APERTURE INSERT IHC 300MM VIISTA HC, HIGH PURITY - HC Spares 5 inquire
111194 Varian E17388860R3 INSERT, APERTURE, 2IN1, UPGRADED HP 2.1 MM THICK, W, HIGH PURITY - HC Spares 5 inquire
111195 Varian E17302120 BAR FIL CLAMP SHORT V810, MO, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111196 Varian E17389870R20 APERTURE, INSERT, MATCHING TO NO TRENCH, IHC HCS W, HIGH PURITY - HCS Spares 5 inquire
111197 Varian E17292530 BAR FILAMENT VER2 IHC 80, MO, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111198 Varian E17441100R4 APERTURE, INSERT, IHC, 3IN1 HCS 3MM THICK W, HIGH PURITY - VIISTA HCS Spares 5 inquire
111199 Varian E17302110 BAR CATHODE AND REPELLER CLAMP LONG V810, MO, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111200 Varian E17284350R10 BAR CLAMP CATHODE R1 IHC, TZM, HIGH PURITY - VIISTA HC Spares 5 inquire
111201 Varian E17220370 PLATE FRONT IHC SOURCE VIISTA810 HP EHP, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111202 Varian E17288190R1 BAR CLAMP CATHODE R1 EHP, TZM, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111203 Varian E17441100R1 E17441100] [E17441100R1] APERTURE, BASE LINER HCS INSERT UPGRADE EXTENDED - VIISta HCS Spares 5 inquire
111204 Varian E17327310 BASE PLATE,IHC 80,VER 3, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111205 Varian E17284350R3 SHAFTLESS CATHODE CLAMP, TZM, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111206 Varian E17343750 INSERT SUPPRESSION ELECTRODE 300MM 5DEG HE, W, HIGH PURITY - VIISTA HC Spares 5 inquire
111207 Varian E17343760R1 SUPP ELECTRODE UPGRADE INSERT HIGH ( BIG APERTURE HOLE) HC W, HIGH PURITY - VISTA HCS Spares 5 inquire
111208 Varian E17343760 INSERT SUPPRESSION ELECTRODE 300MM 5 DEG HE, W, HIGH PURITY - VISTA HCS Spares 5 inquire
111209 Varian E17385700 INSERT ELECTRODE SUPP VERSION 2 LE HCS, W, HIGH PURITY - VIISTA HCS Spares 5 inquire
111210 Varian E17385690 INSERT ELECTRODE SUPP VERSION 2 HE HCS, W, HIGH PURITY - VISTA HCS Spares 5 inquire
111211 Varian E17335830 APERTURE BASE PLATE IHC 300MM VIISTA HC V80, W, HIGH PURITY - HC Spares 5 inquire
111212 Varian E17348680 BASE SUPPORT SUPPRESSION ELECTRODE, W, HIGH PURITY - HC Spares 5 inquire
111213 Varian E17389860 E17389860] [E17389860R2] APERTURE, BASE HCS MAIN UPGRADE EXTENDED - VIISta HCS Spares 5 inquire
111214 Varian E17389860R2 APERTURE, BASE HCS MAIN UPGRADE EXTENDED, HIGH PURITY - VISTA HCS HC Spares 5 inquire
111215 Varian E17285160 APERTURE BASEPLATE IHC702 W MAIN UPGRADE, HIGH PURITY - HC Spares 5 inquire
111216 Varian E17273990 ELECTRODE SUPRESSION INSERT TUNGSTEN, W, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111217 Varian E17335830R1 APERTURE BASEPLATE H706 W MAIN UPGRADE, EXTENTED, W, HIGH PURITY - VIISTA HC Spares 5 inquire
111218 Varian E17386490 BASE,SUPPORT,SUP ELEC,4 DEG 70MM X 4.7MM, HIGH PURITY - VISTA HCS Spares 5 inquire
111219 Varian E17288230R9 BASEPLATE,IHC 810, NONVAPORIZER, MI, W, HIGH PURITY - XER/EHP Spares 5 inquire
111220 Varian E17288230 BASEPLATE IHC 810, W, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111221 Varian E17369550 STEM,S/OPEN,ELECTRODE PLATE,70MM MO, HIGH PURITY - HCS Spares 5 inquire
111222 Varian E17359110 STEM SUPPORT ELECTRODE HEAD, MO, HIGH PURITY - HCS Spares 5 inquire
111237 VARIAN E17790370 Block, Support, Clipper - VARIAN Spares 5 inquire
111238 VARIAN E17790360 Block, Support, Clipper - VARIAN Spares 5 inquire
111239 VARIAN E17452930 Outside End Block, 90 Deg - VARIAN Spares 5 inquire
111241 VARIAN E17094620 BUSHING BURNTHRU SENSOR, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111242 VARIAN E17094620 E17094620 Bushing Burnthru Sensor, Ceramic - VIISta 80 HP/HC/HCS Spares 5 inquire
111243 VARIAN E17322160 STANDOFF CERAMIC GRAPHITE PROFILER, CERAMIC, HIGH PURITY - VISTA HC Spares 5 inquire
111244 VARIAN E17063980 SCREW LAMP INSULATOR, CERAMIC, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111247 VARIAN E17296000 INSULATOR CATHODE FEEDRHRU, CERAMIC, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111248 VARIAN G17697980R1 INSULATOR SINGLE SHIELD INNER,D2 HCS, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111249 VARIAN E17280850 INSULATOR SUPPRESSION ASSY, CERAMIC, HIGH PURITY - XE Spares 5 inquire
111250 VARIAN G17697980R2 INSULATOR SINGLE SHIELD OUTER,D2 HCS, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111251 VARIAN E17276700R2-1 INSULATOR SINGLE SHIELD INNER,D2,HC, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111252 VARIAN E17275900R3 INSULATOR SMALL, 20KV, D2, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111253 VARIAN E17276700R2-2 INSULATOR SINGLE SHIELD OUTER,D2,HC, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111254 VARIAN E17276700R2 INSULATOR, LONG, 20KV,D2,HC, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111255 VARIAN E17125680 INSULATOR, CERAMIC, HIGH PURITY - VIISTA 810 XE Spares 5 inquire
111256 VARIAN E17270580 INSULATOR FILAMENT PLASMA FLOOD GUN, CERAMIC, HIGH PURITY - XE/XER Spares 5 inquire
111257 VARIAN E17540540R3 UMBRELLA INSULATOR PFG CERAMIC CAP KIT, CERAMIC, HIGH PURITY - VISTA HCS Spares 5 inquire
111258 VARIAN G17697980R3 INSULATOR TWIN SHIELD INNER,D2 HCS, CERAMIC, HIGH PURITY - VIISTA HCS Spares 5 inquire
111259 VARIAN E17296280 INSULATOR DUAL MOUNT IHC SOURCE, CERAMIC, HIGH PURITY - VIISTA 810 EHP Spares 5 inquire
111260 VARIAN G17697980R4 INSULATOR TWIN SHIELD OUTER,D2 HCS, CERAMIC, HIGH PURITY - VIISTA HCS Spares 5 inquire
111261 VARIAN E17540540 INSULATOR, FILAMENT, PFG, CERAMIC, HIGH PURITY - VIISTA 80 HP Spares 5 inquire
111262 VARIAN E17540540 E17540540] INSULATOR, FILAMENT, PFG - VIISta HP/HC/HCS Spares 5 inquire
111263 VARIAN E17540540R5 INSULATOR, FILAMENT,PFG, MODIFIED, MI, CERAMIC, HIGH PURITY - XE/XER Spares 5 inquire
111264 VARIAN E11087960R1 70 DEGREE WAVE GUIDE�HP, TEXTURE KITS CG Spares 5 inquire
72133 Varian E17015570 SCANACT,COUNTER WEIGHT Spares 2 as is where is immediately
72136 Varian E17064301 BEAM SHIELD Spares 1 as is where is immediately
72138 Varian E17032320 CHASIS GUIDE M FRME ESSERV Spares 2 as is where is immediately
72143 Varian E17026720 PLATE,GROUND,GRAPHSCAN-SCAN Spares 1 as is where is immediately
72144 Varian E17026680 PLATE,GROUND,GRAPHSCAN Spares 1 as is where is immediately
72145 Varian E17101600 COVER,DUAL VAPORIZER Spares 1 as is where is immediately
111338 VARIAN E17335810R13 APERTURE,INSERT,HC, NON-GUIDE,3MM THICK, IMPREGNATED, GPH, CG - HC Spares 5 inquire
108780 VARIAN 936-70 SP Helium Leak Detector 1 as is where is
106007 VEECO E475 Turbodisc MOCVD System configured for AsP use 100 mm 01.09.2010 1 as is where is immediately
108331 Veeco Dimension 7000 Atomic Force Microscope (AFM) 200mm 1 as is where is immediately
83907 VERIFLO CORP. 42800147 PRESSURE VALVE 01.02.1987 1 as is where is immediately
82219 WAVECREST DTS-2070C (-52) Credence Duo Wavecrest digital time controller spares 31.05.1999 2 as is where is immediately
111403 Weiss WT3-340/70 Temperature Chamber Reliability 1 as is where is immediately
100712 Weiss VT 7012 S2 Temperature Shock Test Chamber 1 as is where is immediately
108783 WEST-BOND 7200A Manual Epoxy Die Bonder Assembly 1 as is all rebuilt immediately
94605 Xantrex XFR 40-70 NV8200P DC Power Supply Magnet SPARES 1 as is where is
106757 YOKOGAWA TS6700 LCD DRIVER TESTER TEST 01.06.2003 1 as is where is
100692 Yokogawa ST6730A Logic Tester TEST 2 as is where is
102577 Yokogawa TS670 Tester TEST 3 inquire immediately
83861 Zeiss 910137 Microscope illumination transformer 1 as is where is immediately
83865 Zeiss 477473-0207 Cover plate 5 as is where is immediately
83866 Zeiss 475690-0206 Joint plate 6 as is where is immediately


Not the item you were looking for?



Ask SDI fabsurplus.com!

If you can't find what you need, or are looking for a specific piece of semiconductor equipment let us know what type of semiconductor manufacturing equipment you would like to buy, and we will conduct a search for what you are looking for.

Inquiry