Canon FPA 5000 ES2

USED WAFER FAB EQUIPMENT UPDATE APRIL 2021

Dear Customers and Friends,

This month,we have 3 lists of “Equipment for Sale” to bring to your attention as follows:

List 1. Our list of Semiconductor Equipment For Sale which is 100% owned by SDI-Fabsurplus and located at our warehouses in Italy, USA and Malaysia.

List 2. Our list of “Featured Sales Items” for April 2021. This includes a selection of the best and most interesting equipment that recently became avaialable which we are selling from factories around the world, as well as items from our own inventory.

List 3. Our list of spare parts for sale, including our stock items and other items of spares which recently came available for purchase.

Cover_Picture_Nov_20

Used Semiconductor Equipment for Sale and Wanted Lists November 2020 – Including Korea GaN Compound Semi Tools

A message from Stephen Howe, company owner

Dear Customers and Friends,

This month, following a careful analysis of current market data, we have 3 lists of “Equipment for Sale” to bring to your attention as follows:-

List 1. The list of the GaN-on-Sapphire Compound Semi Manufacturing Equipment which is immediately for sale from the cleanroom in Daegu, Korea. This equipment we are selling in partnership with Hilco Industrial.

All sales prices shown are open to your best counter-offers. I encourage you to make your offers and pick up some bargains NOW!

List 2. Our list of “Featured Sales Items” for November 2020. This includes a selection of the best and most interesting equipment that recently became avaialable which we are selling from factories around the world, as well as items from our own inventory.

List 3. Our list of spare parts for sale, including our stock items and other items of spares which recently came available for purchase.

We have many more items for sale on our website. Make sure to visit www.fabsurplus.com to find thousands more equipment bargains.

“Wanted to Buy” Items list

We also buy your surplus equipment and spare parts. At the bottom of this mail is a list of recent wanted items, also attached in an excel list. If you can sell us any of these items,please send us the details of the tools you can offer.

Since the last 22 years, we have continuously run world-wide marketing campaigns to promote Semiconductor, Assembly, SMT, Test , LED, Flat-Panel-Display and Solar equipment for sale, using many sales channels including our global network of contacts built up over the years, our experienced sales team and our advanced software algorithms and database. By use of advanced marketing technology, we enable a win-win both for Fabsurplus and our customers by maximising the cash return from the sale of surplus assets. Contact me now to learn about how we can help you to efficiently liquidate your assets via our Equipment Remarketing Program.

APPLIED MATERIALS CENTURA AP DPS2 ADVANTEDGE POLY

Used Semiconductor Equipment for Sale 18th September 2019

Dear Customers, 
This month we are featuring for sale the following items of semiconductor manufacturing equipment.
By clicking the links shown below, you will be able to see the details about each item of equipment via our website.

Applied Materials Centura DPS2 Advantedge Poly etcher, 4 CH , 300 mm, for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=95926

Applied Materials Centura DPS2 Advantedge Poly etcher, 4 CH, 300 mm, for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=95927

Applied Materials Centura Advantedge Carina Mesa, 2 CH, 300 mm, for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=95928

Applied Materials Mirra Ontrak, Refurbished, 200 mm,  for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=96537

AKT / Applied Materials NAR 1200 Twin TFB G5 Vertical PVD system for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=95632

AKT / Applied Materials G5 15K PECVD System for FPD amorphous Silicon for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=95119

ASML XT1700Fi Immersion ArF scanner for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=95949

ASM AD 830 Die Bonder for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=95633

Canon MPA 600 Super Mask Aligner for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=50438

FEI Helios Nanolab 450S Dual-Beam FIB-SEM, 300 mm, for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=96385

Hitachi S9380ii CD-SEM, 300 mm,  for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=96377

KLA-Tencor AIT UV Darkfield Wafer Inspection System, 200 mm, for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=76682

Karl Suss MA6 Mask Aligner for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=96389

Neutronix / Quintel 7000 Mask Aligner, 150 mm,  for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=87509

Phoenix Nanomex 160 X-ray PCB inspection system for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=86340

Rigaku MFM 65 Energy Dispersive X-ray Fluorescence Wafer Inspection System for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=92691

Veeco K465i Turbodisc GaN MOCVD Reactors , 2-6 inch, for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=93402

Veeco D9000 AFM , 200 mm,  for sale @fabsurplus.com:-

https://www.fabsurplus.com/sdi_catalog/salesItemDetails.do?id=96383 Next, please find attached a short-notice bidding list of top-of-the-range 300 mm and 200 mm Semiconductor Wafer Fab Equipment.This equipment will be sold to the highest bidder via a process of closed bidding:- 

SDI ID Manufacturer Model Description Quantity Version Vintage
96408 ACCRETECH SA2400 METRO 1 300mm 01.12.2006
96409 ACCRETECH SA2500 METRO 1 300mm 01.03.2008
96410 ADVANTEST T5371 Memory Tester 1 300mm 01.06.2001
96411 ADVANTEST T5371 Tester 1 300mm 01.04.2000
96412 Applied Materials DPS Polysilicon Etch 1 300mm 01.05.2005
96413 Applied Materials DPS G5 Polysilicon Etch 1 300mm 01.05.2005
96414 Applied Materials DPS G5 Polysilicon Etch 1 300mm 01.06.2010
96415 Applied Materials DPS G5 Polysilicon Etch 1 300mm 01.07.2006
96416 Applied Materials DPS G5 MESA Polysilicon Etch 1 300mm 01.02.2013
96417 Applied Materials ENABLER E2 Dielectric Etch Process 1 300mm 01.11.2009
96418 Applied Materials ENABLER E5 Oxide Etcher 1 300mm 01.11.2010
96419 Applied Materials ENDURA2 PVD Sputter 1 300mm 01.10.2010
96420 Applied Materials P5000 Multi Chamber CVD and Etch System 1 200mm 01.10.1995
96421 Applied Materials PRODUCER SE PECVD 1 300mm 01.08.2006
96422 Applied Materials PRODUCER SE PECVD 1 300mm 01.08.2006
96423 Applied Materials PRODUCER SE PECVD 1 300mm 01.07.2004
96424 Applied Materials PRODUCER GT PECVD 1 300mm 01.01.2009
96425 Applied Materials RADIANCE RTP System 1 300mm 01.05.2003
96426 Applied Materials RADIANCE RTP System 1 300mm 01.05.2003
96427 Applied Materials ULTIMA X HDP CVD Oxide Deposition 1 300mm 01.09.2005
96428 Applied Materials ULTIMA X HDP CVD Oxide Deposition 1 300mm 01.08.2006
96429 Applied Materials ULTIMA X HDP CVD Oxide Deposition 1 300mm 01.07.2004
96430 Applied Materials UVISION7 Brightfield Inspection 1 300mm 01.09.2011
96431 Applied Materials P5000 Multi Chamber CVD and Etch System 1 200mm 01.11.1995
96432 ASM MIR3000 ALD Oxide 1 300mm 01.02.2013
96433 ASM MIR3000 ALD Oxide 1 300mm 01.03.2011
96434 ASM MIR3000 ALD Oxide 1 300mm 01.06.2013
96435 ASM MIR3000 ALD Oxide 1 300mm 01.06.2013
96436 ASML AT850C Twin Scan Lithography System 1 300mm 01.07.2004
96437 ASML AT850C Twin Scan Lithography System 1 300mm 01.07.2004
96438 ASML AT850C Twin Scan Lithography System 1 300mm 01.06.2003
96439 AXCELIS OPTIMA HD Medium Current Implanter 1 300mm 01.07.2007
96440 AXCELIS PARADIGM XE High Energy Implanter 1 300mm 01.03.2005
96441 AXCELIS PARADIGM XE High Energy Implanter 1 300mm 01.09.2007
96442 AXCELIS PS3 UV Cure 1 300mm 01.07.2004
96443 BRUKER JVX6200 X-Ray Reflectometer 1 300mm 01.11.2010
96444 CANON 5500 IZ I-Line Stepper 1 200mm 01.10.2003
96445 CANON ES6A KrF Scanner 1 300mm 01.04.2007
96446 DNS 80B Coater/Developer System 1 200mm 01.10.1995
96447 EBARA FREX200 Oxide CMP System 1 200mm 01.07.2003
96448 GENUS LYNX3 CVD 1 300mm 01.11.2012
96449 GSI INM300 Laser Metrology System 1 200mm 01.03.2005
96450 HIKE DJ1206VN Diffusion Furnace 1 300mm 01.09.2004
96451 HIKE DJ1223VN CX3 Diffusion Furnace 1 300mm 01.08.2004
96452 HIKE DJ1223VN CX3 Diffusion Furnace 1 300mm 01.10.2003
96453 HIKE DJ1223VN CX3 Diffusion Furnace 1 300mm 01.09.2003
96454 HITACHI I6300 Defect Inspection and Review System 1 200mm 01.02.2006
96455 HITACHI S9380-2 CD SEM 1 300mm 01.05.2013
96456 KLA-Tencor ARCHER10XT Overlay Measurement 1 300mm 01.11.2003
96457 KLA-Tencor ARCHER10XT-PLUS Overlay Measurement 1 300mm 01.09.2006
96458 KLA-Tencor DISCOVERY3 Defect Inspection and Review System 1 300mm 01.07.2011
96459 KLA-Tencor SFX100 Surface film measurement system 1 300mm 01.07.2004
96460 KLA-Tencor P2H Profileometer 1 200mm 01.05.1992
96461 LAM Research 2300FLEX45 Dielectric Etch Process 1 300mm 01.07.2007
96462 LAM Research 2300FLEX45 Dry Etch 1 300mm 01.11.2007
96463 LAM Research 2300FLEX45 Dry Etch 1 300mm 01.12.2007
96464 LAM Research 2300FLEX45 Dry Etch 1 300mm 01.12.2008
96465 LAM Research 2300FLEX45 Dry Etch 1 300mm 01.08.2013
96466 LAM Research 2300FLEX45 Dry Etch 1 300mm 01.09.2013
96467 LAM Research 2300KIYO45 Dry Etch 1 300mm 01.01.2011
96468 LAM Research 2300KIYO45 Dry Etch 1 300mm 01.01.2011
96469 LAM Research 2300KIYO45 Dry Etch 1 300mm 01.09.2010
96470 LAM Research 2300KIYO45 Dry Etch 1 300mm 01.05.2013
96471 LAM Research ALTUS Tungsten CVD 1 300mm 01.09.2001
96472 LAM Research FLEX GX E6 Dielectric Etch Process 1 300mm 01.11.2008
96473 LAM Research FLEX GX E6 Dielectric Etch Process 1 300mm 01.11.2008
96474 LAM Research TORUS300S Plasma etcher 1 300mm 01.10.2010
96475 LAM Research VECTOR-EXTREME PECVD 1 300mm 01.07.2010
96476 MATTSON HELIOS RTP System 1 300mm 01.06.2006
96477 MATTSON HELIOS RTP System 1 300mm 01.12.2006
96478 MATTSON HELIOS RTP System 1 300mm 01.10.2006
96479 MATTSON HELIOS RTP System 1 300mm 01.07.2007
96480 MATTSON PARADIGME SI Light Etch 1 300mm 01.05.2011
96481 MATTSON PARADIGME SI Light Etch 1 300mm 01.03.2011
96482 MATTSON SUPREMA Plasma Dry Strip 1 300mm 01.10.2011
96483 MATTSON SUPREMA IM Plasma Dry Strip 1 300mm 01.05.2012
96484 MATTSON SUPREMA IM Plasma Dry Strip 1 300mm 01.09.2011
96485 MATTSON SUPREMA IM Plasma Dry Strip 1 300mm 01.12.2011
96486 MATTSON SUPREMA IM Plasma Dry Strip 1 300mm 01.06.2012
96487 MATTSON SUPREMA IM Plasma Dry Strip 1 300mm 01.06.2012
96488 MATTSON SUPREMA IM Plasma Dry Strip 1 300mm 01.06.2012
96489 NANOMETRICS ATLAS Overlay Measurement 1 200mm 01.03.2005
96490 NANOMETRICS ATLAS Overlay Measurement 1 300mm 01.10.2004
96491 NANOMETRICS CALIPER-ELAN ULTRA Overlay Measurement 1 300mm 01.08.2006
96492 NANOMETRICS CALIPER-ELAN Overlay Measurement 1 300mm 01.06.2005
96493 NANOMETRICS CALIPER-ELAN Overlay Measurement 1 300mm 01.06.2005
96494 NGR NGR2150 E-beam Wafer Inspection System 1 300mm 01.03.2010
96495 NIKON 2205I11D I-Line Stepper 1 200mm 01.10.1995
96496 NIKON SF100 I-line wafer scanner 1 200mm 01.05.2001
96497 NIKON SF120 I-line wafer scanner 1 300mm  
96498 NOVELLUS GAMMA EXPRESS Asher/Stripper 1 300mm 01.07.2004
96499 NOVELLUS L3500 Asher/Stripper 1 200mm 01.10.1995
96500 NOVELLUS L3500 Asher/Stripper 1 200mm 01.02.1996
96501 NOVELLUS VECTOR PECVD 1 300mm 01.09.2012
96502 PSK SUPRA3 Asher/Stripper 1 300mm 01.11.2006
96503 PSK TERA21 Asher/Stripper 1 300mm 01.06.2004
96504 PSK TERA21 Asher/Stripper 1 300mm 01.11.2004
96505 PSK TERA21 Asher/Stripper 1 300mm 01.07.2005
96512 RUDOLPH WS2500 Wafer Inspection System 1 200mm 01.09.2003
96513 RUDOLPH WS2500 Wafer Inspection System 1 200mm 01.06.2003
96506 RUDOLPH AXI-S Macro Wafer Inspection 1 300mm 01.03.2004
96507 RUDOLPH MP1-300 Film thickness measurement 1 300mm 01.01.2007
96508 RUDOLPH S3000A Ellipsometer 1 300mm 01.05.2012
96509 RUDOLPH S3000A Ellipsometer 1 300mm 01.06.2012
96510 RUDOLPH WS2500 Wafer Inspection System 1 200mm 01.08.2002
96511 RUDOLPH WS2500 Wafer Inspection System 1 200mm 01.03.2006
96514 TEL Tokyo Electron A303I Diffusion Furnace 1 300mm 01.10.2003
96515 TEL Tokyo Electron ACT12 Photoresist coater and developer track 1 300mm 01.03.2004
96516 TEL Tokyo Electron CERTAS Single wafer Dry Cleaning System 1 300mm 01.11.2008
96517 TEL Tokyo Electron INDY Diffusion Furnace 1 300mm 01.11.2004
96518 TEL Tokyo Electron INDY Diffusion Furnace 1 300mm 01.02.2010
96519 TEL Tokyo Electron INDY Diffusion Furnace 1 300mm 01.10.2006
96520 TEL Tokyo Electron INDY Diffusion Furnace 1 300mm 01.03.2010
96521 TEL Tokyo Electron LITHIUS Lithography Coater/Developer 1 300mm 01.07.2008
96522 TEL Tokyo Electron SCCM SHIN Oxide Etcher 1 300mm 01.03.2007
96523 TEL Tokyo Electron TACTRAS VIGUS0 Deep Etch Cluster Tool 1 300mm 01.06.2010
96524 TEL Tokyo Electron TRIAS HIGH K Diffusion Furnace 1 300mm 01.05.2011
96525 TEL Tokyo Electron TRIAS-EX2 Metal CVD 1 300mm 01.03.2014
96526 TEL Tokyo Electron VESTA Plasma Etch System 1 300mm 01.02.2011
96527 TERA SEMICON TERA300CA Diffusion Furnace 1 300mm 01.05.2012
96528 TES CHALLENGER HT PLUS CVD 1 300mm 01.12.2013
96529 WONIK IPS MAHA CVD 1 300mm 01.07.2013
96530 WONIK IPS AKRA Metal CVD 1 300mm 01.01.2014
96531 WONIK IPS AKRA Metal CVD 1 300mm 01.09.2010
96532 WONIK IPS AKRA Metal CVD 1 300mm 01.10.2010
96533 WONIK IPS MAHA SP CVD 1 300mm 01.09.2011

Finally for this month, here follows the list of all the equipment owned by SDI_Fabsurplus which we currently have for sale:-

SDI ID Manufacturer Model Description Qty. Version Vintage
54226 Accretech TSK MHF300L Test head manipulators 1 200 mm 01.06.1999
95398 Accretech TSK MHF300L Test head manipulators 1 200 mm 01.06.1999
95399 Accretech TSK MHF300L Test head manipulators 1 200 mm 01.06.1999
95400 Accretech TSK MHF300L Test head manipulators 1 200 mm 01.06.1999
95401 Accretech TSK MHF300L Test head manipulators 1 200 mm 01.06.1999
95402 Accretech TSK MHF300L Test head manipulators 1 200 mm 01.06.1999
95403 Accretech TSK MHF300L Test head manipulators 1 200 mm 01.06.1999
76604 ADVANTEST TR6846 Digital Multimeter 1 200 mm  
78639 Advantest T5335P (Spares) Spare Boards from test system (See attached list for details) 1 TEST 01.12.1997
87652 Advantest T5371 Test system (With a single test head ) 1 Test  
89909 Advantest Hifix for PQFP80 (14 x 20) Hi-fix for Advantest T5371 package type PQFP80 (14 x 20) 1 Spares 01.06.2005
92009 Advantest T5335P Boards from an Advantest T5335P Test system 18 spares  
76605 Agilent 41501B SMU and PGU 2 units 1 200 mm 01.06.2001
79588 Agilent 1671G Logic Analyzer 1 test 01.10.2007
79589 Agilent 1671G Logic Analyzer 1 test 01.10.2008
2669 ANGELANTONI T600 TU5 BAKE OVEN 1 FACILITIES 01.08.1995
10637 Angelantoni T600 TUS Clean Room Oven 1 FACILITIES 01.06.1995
34740 AP & S TwinStep-B H3P04 Semi-Automatic H3PO4 2 stage Megasonic QDR 1 200 mm 01.11.2005
3419 Applied Materials P5000 CVD System, 2 Chamber TEOS Oxide CVD 1 200 MM 01.01.1994
11568 Applied Materials 0020-0323 REV H Heat Exchanger 1 FACILITIES  
83514 Applied Materials Opal 7830i Enhanced CD-SEM 1 200 mm 01.06.1997
84765 Asyst 1150-V1315S SMIF Load port 150mm 1 150 MM  
95404 Asyst 1150-V1315S SMIF Load port 150mm 1 150 MM  
54859 Baccini 35MW Solar Cell Line Solar Cell Print line for Mono or Poly Crystalline Solar Cells 1 156 mm 01.12.2005
77009 Baccini Screen Printer 2 screen printer 1 156 mm 01.06.2001
77010 Baccini Screen Printer 3 screen printer 1 156 mm 01.11.2001
77013 Baccini CHIP AND CRACK CAMERA Chip and Crack camera 1 156 mm 01.06.2007
77017 Baccini Cell electrical tester Electrical Cell tester 1 156 mm 01.06.2006
77021 Baccini Dryer 1 Dryer 1 1 156 mm 01.11.2001
77022 Baccini Dryer 2 Dryer 1 1 156 mm 01.11.2001
52164 Canon FPA 3000 (Spares) ALS System for FPA 3000 series 1 spares 01.07.1999
68025 Canon FPA 5000 ES2+ 248 nm lithography exposure system 1 200 mm (300 mm also possible with conversion kit) 01.06.2000
56140 CentroTherm DO 12.000-200-FF-HTO-CAN-NT4.0 Fast Firing Funace with Dryer 1 156mm 01.06.2001
56144 Centrotherm Centronic E2000 Horizontal diffusion furnace for POCl3 doping 1 156 mm 01.06.2003
80083 COLUSSI UG 50 E AUTOCLAVE FOR STERILIZATION 1 Laboratory 01.06.2000
78638 Credence Duo SX (Spare Parts) SPARE PARTS FROM AUTOMATED TEST SYSTEM 1 test  
87089 Credence Personal Kalos I Test system 1 TEST 01.11.2002
79586 DATA IO UNISITE 68 EPROM PROGRAMMER WITH USPIN 84 1 test 01.06.2005
77666 Digital Analysis PH10 Adjustment system PH Adjustment system 1    
79394 Ebara A30W Vacuum Pump 1 Pump  
79395 Ebara A30W Vacuum Pump 1 Pump  
89967 Ebara ET300WS Turbo pump 1 Pump 01.06.1997
95410 Ebara 305W Turbo pump controller 1 Pump 01.06.1996
95411 Ebara 305W Turbo pump controller 1 Pump 01.06.1996
95413 Ebara ET300WS Turbo pump 1 Pump 01.06.1997
95414 Ebara ET300WS Turbo pump 1 Pump 01.06.1997
95415 Ebara ET300WS Turbo pump 1 Pump 01.06.1997
95416 Ebara ET300WS Turbo pump 1 Pump 01.06.1997
95417 Ebara ET300WS Turbo pump 1 Pump 01.06.1997
95418 Ebara ET300WS Turbo pump 1 Pump 01.06.1997
95419 Ebara 306W Turbo pump controller 1 Pump 01.06.1997
95420 Ebara 306W Turbo pump controller 1 Pump 01.06.1997
95421 Ebara 305W Turbo pump controller 1 Pump 01.06.1997
80052 EDA Industries PCBA 05568 REV 1.3 Pattern test Driver module for BIB oven with 3 x PSU 1 Reliability  
54214 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump 01.06.2000
54216 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump 01.06.2000
54217 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump 01.04.2007
54218 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump 01.04.2007
54219 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 pump 01.04.2007
54220 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo 1 pump 01.06.2000
54221 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo 1 pump 01.06.2000
54222 Edwards QDP80 Dry Vacuum Pump 1 pump 01.06.2000
54524 Edwards iQDP80 Dry Vacuum Pump 1 pump 01.01.2000
81822 Edwards E2M40 FSPX Rotary Vacuum Pump with oil filter 1 Pump 01.06.1989
89969 Edwards D150 Dual GRC unit 1 Facilities 01.06.1996
95412 Edwards D150 Dual GRC unit 1 Facilities 01.06.1996
95559 Edwards iQDP40 Dry Mechanical Pump 1 Pump 01.06.2000
95560 Edwards iQDP80 Dry Mechanical Pump 1 Pump 01.06.2000
78132 Electroglas Horizon 4085X Prober with an inker 1 200 mm 01.02.1998
92047 ELES ART 200 Burn In Board testing system 1 Reliability 01.06.2011
79596 Elind KL 1200W Laboratory Power supply 8 Electronics Test and Measurement 01.06.2000
79885 Elind 3232 power supply 0-3,2A current adj – 0 – 32 V voltage adj 1 Electronics Test and Measurement  
79887 elind 328 power supply 0-0,8A current adj – 0 – 32 V voltage adj 1 Lab Equipment 01.06.1995
83513 Entegris RSPX-EUV-036 EUV Reticle stocker 1 Facilities 01.08.2010
83739 ESI 44 LASER TRIMMER SPARE PARTS 1 SPARES  
83515 Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer 1 Facilities 01.06.2004
1557 FORTREND F6000QS 6 INCH WAFER TRANSFER 1 6 INCH 01.06.1992
95405 Fortrend F6000QS 6 INCH WAFER TRANSFER 1 6 INCH 01.06.1992
83516 FSI Polaris 3500 (Spares) Spares for DUV photoresist coater / developer track 1 spares 01.06.2000
79600 Gigi Molina Brevetti Plastici SpA Custom Manual wet hood 1 UP TO 200 mm 01.06.2000
95406 Gigi Molina Brevetti Plastici SpA Custom Manual wet hood 1 UP TO 200 mm 01.06.2000
95407 Gigi Molina Brevetti Plastici SpA Custom Manual wet bench 1 UP TO 200 mm 01.06.2000
76735 GL Automation IDSCOPE Wafer bar code reader 1 200 mm 01.07.2004
76736 GL Automation IDSCOPE Wafer bar code reader 1 200 mm 01.07.2004
76737 GL Automation IDSCOPE Wafer bar code reader 1 200 mm 01.07.2004
76738 GL Automation IDSCOPE Wafer bar code reader 1 200 mm 01.07.2004
76739 GL Automation IDSCOPE Wafer bar code reader 1 200 mm 01.09.2004
79892 Gossen Konstanter IEC625 Power supply Gossen Konstanter UOP 1 Electronics Test and Measurement  
71907 Hamamatsu C7103 PC Controlled IC Back-side Lapping and Wafer Grinding System 1 200 mm and packages 01.10.2001
36259 HITACHI S-9300 SEM – CD (CRITICAL DIMENSION) 1 200 mm 01.06.2005
95722 HITACHI S9300 SEM – CD (CRITICAL DIMENSION) 1 300 mm 01.06.2005
56141 Innolas ILS 700P Laser Edge Isolation 1 156mm 01.06.2005
56310 Jonas and Redmann Q2 WHD A Loader for Centrotherm E2000 furnace 1 156 mm and 125 mm 01.06.2003
79595 K Tech Engineering BK04A Blister tape applicator for microelectronic components 1 Assembly 01.06.2010
76802 KEITHLEY 487 PICO AMMETER  1 Electronics Test and Measurement  
90664 KLA-TENCOR Candela CS20 Wafer Inspection System 1 200 mm 01.12.2006
1680 KLA-TENCOR 2132 bright-field WAFER INSPECTION 1 200 mm 01.08.1995
71632 KLA-TENCOR 2122 WAFER DEFECT INSPECTION 1 200 mm  
76682 KLA-TENCOR AIT UV Dark field wafer particle inspection system 1 200 mm 01.10.2003
2873 LAM RESEARCH 4520 (spares) REMOTE CART 1 FACILITIES 01.01.1995
95408 LAM RESEARCH /ONTRACK 4520 (spares) REMOTE CART 1 FACILITIES 01.01.1995
15066 LAMBDA PHYSIK Novaline K2005 EXCIMER LASER 1 facilities 01.07.2002
33542 Liebherr FKV 3610 Fridge for photoresist 1 facilities  
79571 Mazzali Climatest C320G5 Temperature and humidity testing chamber 1 Reliability 01.06.1993
79572 Mazzali Climatest C320G5 Temperature and humidity testing chamber 1 Reliability 01.06.1993
80038 MDA Scientific System 16 Toxic Gas Monitor 1   01.07.2001
4007 MDC (Materials Development Corp.) DUO CHUCK CSM16 CV Measurement system 1 150 mm 01.06.1995
71902 Microcontrol MWE Plus UV Wafer Eraser with cassette loading 1 200 mm , 150 mm, 125 mm 01.06.2000
79592 Minato Electronics 1940 EPROM Programmer with additional memory 1 Electronics Test and Measurement 01.06.2007
79593 Minato Electronics 1940 EPROM Programmer 1 Electronics Test and Measurement 01.06.2005
72156 MRL Black Max Black max heater element, 850 celcius 1 Spares 01.12.2006
77665 Neslab HX-2000 75 KW Recirculating Chiller 1 chiller 01.09.2001
71760 Oxford Plasma Technology DP80 PE CVD 1   01.12.1989
31246 PMS Liquitrack 776200 Non volatile residual Monitor 1 facilities 01.11.1999
54210 Poly Design Inc. Custom Heated Quartz Boat storage / drying system 1 200 mm 01.06.2005
77670 Rasco BCU-750 Brine Chiller 1    
57773 Rena Etcher In-Line Etching System  1 Solar 01.06.2005
53053 Rorze RR04L90 Robot 1 spares 01.07.1995
56813 Roth & Rau SiNA Plus PECVD – Deposition of Silicon Nitride 1 156 mm SQUARE/125 MM 01.06.2005
76973 Roth & Rau Chiller Chiller 1   01.06.2005
79602 Salon Teknopaja OY PWB Printed Wire Board Level Drop Tester with Solder Joint Reliability tester 1 SMT 01.06.2004
79889 Sanitas EG Multilevel EPROM Programmer 1 Electronics Test and Measurement  
86303 Sankei Giken TCW-12000 CV Process Module Chiller 1 Facilities 01.08.1996
69878 SEIKO SEIKI STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40 1 VACUUM PUMP 01.11.1999
20268 SEKISUI VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box 9500 200 mm 01.07.2006
54208 Seminet Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Reticle Stocker 1 facilities 01.11.2007
84342 Semitool ST-921R-AA Spin Rinse Dryer 1    
84351 Semitool ST-240 Spin Rinse Dryer 1    
84364 Semitool PA7230M SRD Rotor  1    
84365 Semitool A72-20M SRD Rotor  1    
76610 SHOWA 341 Laboratory Power Supply – 4 channel 1 200 mm 01.06.1998
76611 SHOWA ELECTRONICS 511-16 REGURATED DC POWER SUPPLY 1 laboratory  
87607 SMC INR-341-59A DUAL CHILLER 1 Facilities 01.05.1996
89968 SMC INR-341-61A Triple Loop Chiller 1 Facilities  
73208 Solitec 5110 Spin Coater  1 3 to 6 inch 01.10.1998
83505 SORENSEN 220 VOLTS POWER SUPPLY 3 220 VOLTS 01.12.1994
71904 ST Automation test head test head for Eprom U 1835 1    
71908 ST Automation PTM1 Flash Memory Tester 3 Test  
71910 ST Automation MT32 Flash Memory Test System 1 TEST 01.06.2008
78133 ST Automation QT200 Test System  1 test 01.06.2007
78137 ST Automation QT200 Tester System with monitor  1 test  
78138 ST Automation R.S.V. ST Memory Test System Electronic Automation 1 test 01.04.2007
80177 ST Automation QT200 Automated Tester System with monitor 1 test 01.10.2007
80178 ST Automation QT200 Automated Tester System with monitor 1 test 01.10.2007
80179 ST Automation QT200 Automated Tester System with monitor 1 test 01.10.2007
80180 ST Automation QT200 Automated Tester System with monitor 1 test 01.10.2007
80181 ST Automation QT200 Automated Tester System with monitor 1 test 01.10.2007
80182 ST Automation QT200 Automated Tester System with monitor 1 test 01.10.2007
80183 ST Automation QT200 Automated Tester System with monitor 1 test 01.10.2007
80184 ST Automation QT200 Automated Tester System with monitor 1 test 01.10.2007
86281 ST Automation QT200 (spares) boards from qt 200 test system – see attached list 1 Spare Parts  
95233 ST Automation MT 32 SX Fully Automated Memory Test System for BIST and NAND Memories 1 TEST 01.12.2005
79584 STS 320 PC Reactive Ion Etcher 1 200 mm 01.06.1995
33413 SYNAX SX3100 Handler Ambient/Hot 1 test 01.06.2006
33414 SYNAX SX3100 Handler 1 test 01.06.2006
79888 System General T9600 Universal Device Programmer 1 Electronics Test and Measurement 01.06.2003
78136 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 1   01.12.2005
80089 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 1   01.12.2005
76613 Tektronix TDS694C Digital 3 GHz real-time oscilloscope 1 Electronics Test and Measurement 01.08.2007
79590 Tektronix TDS 544A Color 4 channel digitizing oscilloscope 1 Electronics Test and Measurement 01.06.2006
79597 Tektronix PS 280 DC Power supply 1 Electronics Test and Measurement 01.06.2007
79599 Tektronix 11801C Digital Sampling Oscilloscope 1 Electronics Test and Measurement 01.02.2001
79601 Tektronix 2432A Digital Oscilloscope, 2 channel, with GPIB 1 Electronics Test and Measurement  
2181 TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch 1 150 mm 01.12.1992
21064 TEL TOKYO ELECTRON MB2 730 HT HT CVD SYSTEM, 2 CHAMBER WSi Process 1 200 mm 01.09.1996
21270 TEL TOKYO ELECTRON MB2 730HT CVD SYSTEM, 3 CHAMBER WSi Process 1 200 mm 01.09.1996
78124 TEL TOKYO ELECTRON P8 Wafer Prober  1 200 MM 01.09.1996
78131 TEL TOKYO ELECTRON P8XL Fully Automatic Wafer Prober (Gold Chuck) 1 200 MM 01.09.2000
54232 Teradyne J994 Memory Tester 1 test 01.06.2000
78361 Teradyne J971SP (Spares) Boards from VLSI test system 1 Test 01.02.1997
87615 United Detector Technology, Inc. 40X Laser Power Meter 1 Test 01.06.1992
84082 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type 1 Pump 01.06.1999
92468 Varian E11040440 Rev 7 Secondary workstation for implanter 1 Spares 01.08.1995
95409 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type 1 Pump 01.06.1999
87651 Verigy / Agilent V6000e Test system 1 Test 01.06.2008
15619 VERTEQ FLUOROCARBON RD4500 CLASSIC SRD 2 100 mm 01.05.1986
79594 Vision Engineering Dynascope Inspection Microscope 1 Assembly 01.06.1995
80238 Weiss TS130 Thermal shock testing chamber 1 Reliability 01.06.1995

Wanted Items:- 

-ASML 1900i immersion scanner

-Biorad QS 500

-KLA-Tencor RS 75

-Whole TFT line for Glass Size 1100 x 1250 mm 

Yours sincerely, 

SDI Fabsurplus Group 

Stephen Howe

Company Owner

email: info@fabsurplus.com

Mobile (USA) : +1 830-388-1071

Mobile (Italy) : +39 335-710-7756

WWW.FABSURPLUS.COM 

FRAUD ALERT !

The strategically important semiconductor equipment sector is currently under attack by fraudsters.Many false and mis-spelled versions of common websites are being registered for use in”Business E-mail Compromise” schemes. False companies have been set up in all partsof the world, including the USA, to do wire fraud. Avoid e-mail fraud by defining an I.T. security policy and carefully monitoring any bank transfers for false information. Check any e-mails sent to you are legitimate by looking at the e-mail headers, and scan any attachments for viruses before opening them.