www.fabsurplus.com
 
 
 
AVAILABLE FOR PURCHASE NOW
FABSURPLUS.COM USED EQUIPMENT UPDATE NOVEMBER 2020
Featuring GaN-on-Sapphire Manufacturing Equipment, Semiconductor Equipment and Spare Parts
 
 
 
 
 
 

A MESSAGE FROM STEPHEN HOWE, COMPANY OWNER
BUY AND SELL EQUIPMENT WITH FABSURPLUS.COM TODAY
 

Dear Customers and Friends,

This month, following a careful analysis of current market data, we have 3 lists of "Equipment for Sale" to bring to your attention as follows:-

List 1. The list of the GaN-on-Sapphire Compound Semi Manufacturing Equipment which is immediately for sale from the cleanroom in Daegu, Korea. This equipment we are selling in partnership with Hilco Industrial.

All sales prices shown are open to your best counter-offers. I encourage you to make your offers and pick up some bargains NOW!

List 2. Our list of "Featured Sales Items" for November 2020. This includes a selection of the best and most interesting equipment that recently became avaialable which we are selling from factories around the world, as well as items from our own inventory.

List 3. Our list of spare parts for sale, including our stock items and other items of spares which recently came available for purchase.

We have many more items for sale on our website. Make sure to visit www.fabsurplus.com to find thousands more equipment bargains.

"Wanted to Buy" Items list

We also buy your surplus equipment and spare parts. At the bottom of this mail is a list of recent wanted items, also attached in an excel list. If you can sell us any of these items,please send us the details of the tools you can offer.

Since the last 22 years, we have continuously run world-wide marketing campaigns to promote Semiconductor, Assembly, SMT, Test , LED, Flat-Panel-Display and Solar equipment for sale, using many sales channels including our global network of contacts built up over the years, our experienced sales team and our advanced software algorithms and database. By use of advanced marketing technology, we enable a win-win both for Fabsurplus and our customers by maximising the cash return from the sale of surplus assets. Contact me now to learn about how we can help you to efficiently liquidate your assets via our Equipment Remarketing Program.

 
Hitachi S-3400N Type II
 
Hitachi S-3400N Type II
 
 
  ▶  READ MORE   
 
NSR 1755i7A
 
NSR 1755i7A
 
 
  ▶  READ MORE   
 
Hamamatsu C7103
 
Hamamatsu C7103
 
 
  ▶  READ MORE   
 
 
Oxford Flex AL II
 
Oxford Flex AL II
 
 
  ▶  READ MORE   
 
Varian Turbo V250 MacroTorr
 
Varian Turbo V250 MacroTorr
 
 
  ▶  READ MORE   
 
Vat HV Gate Valve
 
Vat HV Gate Valve
 
 
  ▶  READ MORE   
 
 
 

List 1. Here is the list of the GaN-on-Sapphire Compund Semi Manufacturing Equipment which is immediately for sale from the cleanroom in Daegu, Korea. This equipment we are selling in partnership with Hilco Industrial.

All the prices shown are open to your best counter-offers. Make your offers and pick up some bargains NOW !

 
▶   DOWNLOAD EXCEL (.XLSX) GAN-ON-SAPPHIRE KOREA EQUIPMENT LIST  
 
SDI ID Manufacturer Model Description Sales Price
96387 4 Inch Partial GaN / Sapphire LED pilot wafer fab line 1,750,000 USD
96561 ADIXEN ASM192T2D + Helium Leak Detector 15,000 USD
96631 AIXTRON AIX2800G4 HT GaN MOCVD 295,000 USD
96632 AIXTRON AIX2800G4 HT GaN MOCVD 295,000 USD
96633 AIXTRON AIX2800G4 HT GaN MOCVD 295,000 USD
96634 AIXTRON AIX2800G4 HT GaN MOCVD 295,000 USD
96565 CLEAN AIR TECH CPF-300 Clean Working Table (Bag Filter Dust Collector) 500 USD
96567 EBARA ESA70W-D Dry Pump 7,500 USD
96570 ENMET SPECTRUM SP Hydrogen Portable Detector (Suction) -
96571 ETAMAX PLATO PL Mapper 40,000 USD
96572 ETAMAX Quick Check LED Prober in Dark Box 15,000 USD
96573 FCI SYSTEM Acid Auto Wet Station 120,000 USD
96574 FCI SYSTEM Solvent Manual Solvent Manual Wet Station 10,000 USD
96575 FCI SYSTEM Solvent Auto Wet Station 120,000 USD
96650 GENSYS Wet Station Acid / Alkali Wet Station 10,000 USD
96576 Hitachi S-3400N-Type Ⅱ Scanning Electron Microscope 50,000 USD
96577 IMS Nanotech LVIS-3 + LED Visual Inspection 10,000 USD
96578 IMS NANOTECH LVIS-V LED Visual Inspection Equipment 20,000 USD
96579 JEIL JC-1100-S01 GAS CABINET (BCl3, CL2, NF3) 2,000 USD
96580 JEIL JV-800-S01 VMB: NH3 (AUTO) 1,500 USD
96581 JEIL JV-800-S01 VMB: SiH4 (AUTO) 1,500 USD
96582 JEIL JC-1100-S01 GAS CABINET (SiH4, SiH4 / H2, SiH4 / H2) 2,000 USD
96583 JEIL JR-500-S03 GAS RACK (N2O, Ar, O2, He) 1,000 USD
96584 JEIO TECH OF-12GW Dry Oven for Curing after Encap - D / A for PKG 1,000 USD
96585 JEIO TECH OF-22GW Dry Oven 1,000 USD
96590 K&S 1488L TURBO Wire Bonder 12,500 USD
96587 KOCAT KC-601 Scrubber (for ICP) 10,000 USD
96588 KOCAT KC-903 Scrubber 10,000 USD
96589 KOCAT KSI-701 Scrubber (for PECVD) 8,000 USD
96641 KOCAT KC-903 Scrubber 10,000 USD
96642 KOCAT KC-903 Scrubber 10,000 USD
96643 KOCAT KC-903 Scrubber 10,000 USD
96644 KOCAT KC-903 Scrubber 10,000 USD
96661 Lead Engineering LDF-8300 3 tube Horizontal Diffusion Furnace 5,000 USD
96591 MAXIS 300L ICP Etcher 75,000 USD
96592 MIDAS MDA-60FA Mask Aligner 60,000 USD
96593 Neontech NBK-107 LED Wafer Breaker 40,000 USD
96596 NIKON NSR-1755i7A i-line Stepper 150,000 USD
96597 NIKON SMZ-645 Microscope -
96598 NPS RHP 440 RTP Equipment 70,000 USD
96670 NPS RHP440 RTP Equipment 70,000 USD
96600 NTS GV320 / PC-VDM Grinding Machine 49,000 USD
96601 NTS NBM-SE3-4 Wafer Bonding Machine 25,000 USD
96602 NTS S610T-AFCLOM Lapping Machine 49,000 USD
96607 OLYMPUS SZ61TR Low Magnification Microscope 1,000 USD
96608 Optoelectronic Precision Co., Ltd. LEOS-OPI-110 Characteristic Measuring Equipment / Multi-channel 15,000 USD
96610 Photondays LED Accelerated Aging System LED Aging & Tester 9,000 USD
96611 QMC DPS-600 LED Die Probing System 50,000 USD
96635 QMC DPS-600 LED Die Probing System 50,000 USD
96636 QMC DPS-600 LED Die Probing System 50,000 USD
96637 QMC DPS-600 LED Die Probing System 50,000 USD
96638 QMC DPS-600 LED Die Probing System 50,000 USD
96614 SCINCO S-3100 UV-Visible Spectrophotometer 3,500 USD
96615 Semitronix SD1500S Single Spin Rinse Dryer 10,000 USD
96639 Semitronix SD1500S Spin Rinse Dryer 10,000 USD
96616 SNTEK PSA5000 Asher 20,000 USD
96618 SVS MSX1000 Spin Coater & Developer 40,000 USD
96619 TAINICS TC2300 PECVD 60,000 USD
96620 TAINICS TE3100 ICP Etching Equipment (for GaN Etching) 60,000 USD
96621 TERATECH TPAM-CA-040N NH3 Purifier (Regen Type) 10,000 USD
96623 TERATECH TPH-CA-100N H2 Purifier (Regen Type) 10,000 USD
96625 TERATECH TPN-CA-100N N2 Purifier (Regen Type) 10,000 USD
96630 Wangsan Engineering WS-CO-500-2 PKG LINE N2 Cure Oven (Up to 220C) 7,500 USD



List 2. This is a list of "Featured Sales Items" for November 2020. This includes a selection of the best and most interesting equipment that recently became avaialable which we are selling from factories around the world, as well as items from our own inventory.

 
▶   DOWNLOAD EXCEL (.XLSX) NOVEMBER FEATURED ITEMS SALES LIST  
 
SDI ID Manufacturer Model Description Qty
100996 70 MWp Complete Poly-Si Solar Cell Manufacturing Line 1
101332 8 inch Partial Wafer Fab Line 290
54859 Baccini 35MW Solar Cell Line Solar Cell Print line for Mono or Poly Crystalline Solar Cells 1
54226 Accretech TSK MHF300L Test head manipulators 1
95398 Accretech TSK MHF300L Test head manipulators 1
95399 Accretech TSK MHF300L Test head manipulators 1
95400 Accretech TSK MHF300L Test head manipulators 1
95401 Accretech TSK MHF300L Test head manipulators 1
95402 Accretech TSK MHF300L Test head manipulators 1
95403 Accretech TSK MHF300L Test head manipulators 1
89909 Advantest Hifix for PQFP80 (14 x 20) Hi-fix for Advantest T5371 package type PQFP80 (14 x 20) 1
78639 Advantest T5335P (Spares) Spare Boards from test system (See attached list for details) 1
87652 Advantest T5371 Test system (With a single test head ) 1
100994 Advantest Nextest Verigy Various Mini-Batch of Automated Test Equipment 12
79588 Agilent 1671G Logic Analyzer 1
79589 Agilent 1671G Logic Analyzer 1
76605 Agilent 41501B SMU and PGU 2 units 1
101035 Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator 1
101036 Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator 1
18869 Agilent / Verigy E4915A Cyrstal impedance LCR meter 1
101029 Agilent/HP, GenRad, Teradyne Spare Parts More than 100 Spare Parts 132
101031 AKT / Applied Materials Aristo 1400L Vertical IN-line Sputtering Machine 5
100699 Alphasem DB 608-PRL Bonder 1
100700 Alphasem E8001 Die Sort System 1
2669 ANGELANTONI T600 TU5 Large Clean-room Oven with internal blowers 1
10637 Angelantoni T600 TUS Large Clean-room Oven with internal blowers 1
34740 AP & S TwinStep-B H3P04 Semi-Automatic H3PO4 2 stage Megasonic QDR 1
11568 Applied Materials 0020-0323 REV H Heat Exchanger 1
11569 Applied Materials 0290-09018 Rev F Heat Exchanger 1
101014 Applied Materials Centura ACP DPN HD Gate Stack Decoupled plasma Nitride 1
100886 Applied Materials Mirra 3400 Standalone CMP Wafer Polisher 1
100868 Applied Materials Mirra Mesa CMP System 1
100873 Applied Materials Mirra Mesa Integrated Oxide/STI CMP 1
83514 Applied Materials Opal 7830i Enhanced CD-SEM 1
3419 Applied Materials P5000 CVD System, 2 Chamber TEOS Oxide CVD 1
101409 Applied Materials Producer GT Celera PECVD 1
101417 Applied Materials Producer SE BD/BLOk Low k Dielectric PECVD 1
101420 Applied Materials Reflexion LK Prime Oxide Dielectric CMP 1
101421 Applied Materials VeritySEM 2 SEM - Critical Dimension (CD) Measurement 1
101425 ASM A412 Anneal Vertical Anneal Furnace 1
100626 ASM AD809A-03 Die Bonder 1
100627 ASM AD809C-00 Die Bonder 2
100628 ASM AD809S-00 Die Bonder 3
100989 Asyst Spartan EFEM Wafer sorter 1
101428 Axcelis Purion H2 High Current Implanter 1
77017 Baccini Cell electrical tester Electrical Cell tester 1
77013 Baccini CHIP AND CRACK CAMERA Chip and Crack camera 1
77021 Baccini Dryer 1 Dryer 1 1
77022 Baccini Dryer 2 Dryer 1 1
77009 Baccini Screen Printer 2 screen printer 1
77010 Baccini Screen Printer 3 screen printer 1
100632 Canon Bestem D02 Epoxy Die Bonder 1
68025 Canon FPA 5000 ES2+ 248 nm lithography exposure system 1
101434 Canon FPA-5500 iZa i-Line Wide-Field Stepper 1
100993 CANON FPA-5500iZa Stepper 1
101001 Canon MPA-600 Super Mirror Projection Mask Aligner 1
100723 Canon PLA501 Contact and Prossimity Mask Aligner 1
56144 Centrotherm Centronic E2000 Horizontal diffusion furnace for POCl3 doping 1
56140 CentroTherm DO 12.000-200-FF-HTO-CAN-NT4.0 Fast Firing Funace with Dryer 1
100701 Climats EXCAL 7728 HE Environmental Test Chamber 1
80083 COLUSSI UG 50 E AUTOCLAVE FOR STERILIZATION 1
78638 Credence Duo SX (Spare Parts) SPARE PARTS FROM AUTOMATED TEST SYSTEM 1
87089 Credence Personal Kalos I Test system 1
79586 DATA IO UNISITE 68 EPROM PROGRAMMER WITH USPIN 84 1
101000 Datacon 2200 APM Flip Chip Bonder 1
100634 Datacon 2210PPS Die Bonder 2
100702 Delvotec 5410 Wire Bonder 1
101004 Diener Tetra 150 Plasma Cleaner/Asher 1
77666 Digital Analysis PH10 Adjustment system PH Adjustment system 1
100636 Disco DAD320 Dicing Saw 4
100715 Disco DAD522 DICING SAW 2
100637 Disco DAD6450 Dicing Saw + Hanmi 3000 1
100638 Disco DFD620 Dicing Saw 1
100639 Disco DFD681 Dicing Saw 1
100703 Disco DFL 7161 Laser Saw 2
100875 DNS WS-820C WET HOOD (HF / HNO3 Process) 1
95421 Ebara 305W Turbo pump controller 1
95420 Ebara 306W Turbo pump controller 1
79394 Ebara A30W Vacuum Pump 1
79395 Ebara A30W Vacuum Pump 1
89967 Ebara ET300WS Turbo pump 1
95413 Ebara ET300WS Turbo pump 1
95416 Ebara ET300WS Turbo pump 1
95417 Ebara ET300WS Turbo pump 1
95418 Ebara ET300WS Turbo pump 1
89969 Edwards D150 Dual GRC unit 1
95412 Edwards D150 Dual GRC unit 1
81822 Edwards E2M40 FSPX Rotary Vacuum Pump with oil filter 1
95559 Edwards iQDP40 Dry Mechanical Pump 1
54217 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 3
54218 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1
54219 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1
54222 Edwards QDP80 Dry Vacuum Pump 1
54220 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo 1
54221 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo 1
101037 Edwards STPiXA2205C PN: YT63‐1Z‐040 Turbomolecular Vacuum Pump 1
101038 Edwards STPiXA2205C PN: YT63‐1Z‐040 Turbomolecular Vacuum Pump 1
101039 Edwards STPiXA2205C PN:YT63‐1Z‐000 Turbomolecular Vacuum Pump 1
101040 Edwards STPiXA2205C PN:YT63‐1Z‐000 Turbomolecular Vacuum Pump 1
101041 Edwards STPiXA2205C PN:YT63‐1Z‐000 Turbomolecular Vacuum Pump 1
78132 Electroglas Horizon 4085X Fully Automatic Prober with an inker 1
92047 ELES ART 200 Burn In Board testing system 1
83513 Entegris RSPX-EUV-036 EUV Reticle stocker 1
100641 Esec 2008XP Die Bonder 4
100704 ESEC 3018 Gold Ball Bonder 1
100705 ESEC 3088 Gold Ball Bonder 1
100643 Esec 2008XP Die Bonder 2
83739 ESI 44 LASER TRIMMER SPARE PARTS 1
83515 Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer 1
101441 FEI Company Tecnai G2 F30 TEM 1
100706 Feutron KPK 200 Type 3423/16 Climate Chamber 1
1557 FORTREND F6000QS 6 INCH WAFER TRANSFER 1
95405 Fortrend F6000QS 6 INCH WAFER TRANSFER 1
101003 FUJI XP243E Pick and Place 2
101042 Genmark AVR series Cleanroom Vacuum Robot 1
101043 Genmark AVR series (1" 2L15") Cleanroom Vacuum Robot 1
101044 Genmark Gencobot 9 GPR series Cleanroom Vacuum Robot 1
101045 Genmark GPR series Cleanroom Vacuum Elevator 1
95407 Gigi Molina Brevetti Plastici SpA Custom Manual wet bench 1
79600 Gigi Molina Brevetti Plastici SpA Custom Manual wet hood 1
95406 Gigi Molina Brevetti Plastici SpA Custom Manual wet hood 1
76735 GL Automation IDSCOPE Wafer bar code reader 1
76736 GL Automation IDSCOPE Wafer bar code reader 1
76737 GL Automation IDSCOPE Wafer bar code reader 1
76738 GL Automation IDSCOPE Wafer bar code reader 1
76739 GL Automation IDSCOPE Wafer bar code reader 1
71907 Hamamatsu C7103 PC Controlled IC Back-side Lapping and Wafer Grinding System 1
100937 Hesse & Knipps BJ 820 Inidexersystem 1
36259 HITACHI S-9300 SEM - CD (CRITICAL DIMENSION) 1
101020 HITACHI S-9300 SEM - CD (CRITICAL DIMENSION) 1
100729 Hitachi SU1510 SEM 1
56141 Innolas ILS 700P Laser Edge Isolation 1
101046 Jel MCR3200C‐ 400‐AM‐ 10358 Cleanroom Handling Robot 1
101047 Jel SCR32000CS‐ 450‐PM Cleanroom Handling Robot 1
100991 Jeol JWS7500E w/Noran EDX Wafer Inspection System (SEM) 1
56310 Jonas and Redmann Q2 WHD A Loader for Centrotherm E2000 furnace 1
79595 K Tech Engineering BK04A Blister tape applicator for microelectronic components 1
100939 Karl Suss MA 25 Mask Holder 2
100707 Karl Suss MA 45 Mask Aligner 1
76802 KEITHLEY 487 PICO AMMETER 1
100899 Keithley S450 Test System 1
71632 KLA-TENCOR 2122 WAFER DEFECT INSPECTION 1
100730 KLA-Tencor Alpha Step IQ Surface Profiler 1
100731 KLA-Tencor Candela CS20V Wafer Inspection 1
101448 KLA-Tencor Puma 9120 Darkfield Inspection 1
101449 KLA-Tencor SpectraFX 200 Film Thickness Measurement System 1
101451 KLA-Tencor Surfscan SP2 Particle Measurement 1
101033 KLA-Tencor WaferSight WAFER FLATNESS CHECKER 1
100907 KLA-Tencor SFX100 Thickness Measurement System 1
101359 KLA-Tencor Candela 8600 Film Thickness Measurement 1
101034 Kohyoung KY8030II AOI & SPI 1
101021 Kohyoung KY8030II-L AOI & SPI 1
15066 LAMBDA PHYSIK Novaline K2005 EXCIMER LASER 1
101013 Matheson UR25K429 GaN MOCVD (6"x7) 1
100902 Mattson Suprema PR Stripper 1
100732 Maxis 300LAH ICP Etcher 2
79571 Mazzali Climatest C320G5 Temperature and humidity testing chamber 1
79572 Mazzali Climatest C320G5 Temperature and humidity testing chamber 1
80038 MDA Scientific System 16 Toxic Gas Monitor 1
4007 MDC (Materials Development Corp.) DUO CHUCK CSM16 CV Measurement system 1
71902 Microcontrol MWE Plus UV Wafer Eraser with cassette loading 1
101024 MKS Instruments 162-0040K Inline Pneumatic Valve ISO-KF NW 40 flanges 1
77665 Neslab HX-2000 75 KW Recirculating Chiller 1
101002 NexTest Maverick 2 GT, base Tester 1
100708 Nikon Eclipse LV 100 Stereomicroscope 1
100738 Nikon G6 Stepper 6
101005 NTS Co., Ltd NSC NSC-20280 1
101006 NTS Co., Ltd NSC-4028 CMP Equipment 6" 1
31246 PMS Liquitrack 776200 Non volatile residual Monitor 1
54210 Poly Design Inc. Custom Heated Quartz Boat storage / drying system 1
77670 Rasco BCU-750 Brine Chiller 1
57773 Rena Etcher In-Line Etching System 1
56813 Roth & Rau SiNA Plus PECVD - Deposition of Silicon Nitride 1
79602 Salon Teknopaja OY PWB Printed Wire Board Level Drop Tester with Solder Joint Reliability tester 1
86303 Sankei Giken TCW-12000 CV Process Module Chiller 1
69878 SEIKO SEIKI STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40 1
20268 SEKISUI VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box 9500
100747 Semilab WT-2000PVN Measurement Machine 1
54208 Seminet Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Reticle Stocker 1
100906 Semitool Raider ECD Wet Bench 1
84351 Semitool ST-240 Spin Rinse Dryer 1
84342 Semitool ST-921R-AA Spin Rinse Dryer 1
87607 SMC INR-341-59A DUAL CHILLER 1
89968 SMC INR-341-61A Triple Loop Chiller 1
73208 Solitec 5110 Spin Coater 1
100650 Sonoscan C-SAM D6000 Acoustic Microscope 1
101008 SPTS Omega ICP etcher 1
101007 SPTS OMEGA 4" ICP Etcher 1
101009 SPTS Trikon Delta CVD 1
95233 ST Automation MT 32 SX Fully Automated Memory Test System for BIST and NAND Memories 1
71910 ST Automation MT32 Flash Memory Test System 1
71908 ST Automation PTM1 Flash Memory Tester 3
80177 ST Automation QT200 Automated Tester System with monitor 1
80178 ST Automation QT200 Automated Tester System with monitor 1
80179 ST Automation QT200 Automated Tester System with monitor 1
80180 ST Automation QT200 Automated Tester System with monitor 1
80181 ST Automation QT200 Automated Tester System with monitor 1
80182 ST Automation QT200 Automated Tester System with monitor 1
80183 ST Automation QT200 Automated Tester System with monitor 1
80184 ST Automation QT200 Automated Tester System with monitor 1
99969 ST Automation QT200 Automated Tester System with monitor 1
78133 ST Automation QT200 Test System 1
78137 ST Automation QT200 Tester System with monitor 1
86281 ST Automation QT200 (spares) boards from qt 200 test system - see attached list 1
78138 ST Automation R.S.V. ST Memory Test System Electronic Automation 1
79584 STS (SPTS) 320 PC Reactive Ion Etcher 1
100750 SVS MSX1000 Auto Develop 2
33414 SYNAX SX3100 Handler 1
33413 SYNAX SX3100 Handler Ambient/Hot 1
78136 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 1
80089 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 1
101030 Team Technik Stringer TT 1800 w/ Confirmware Cell loader Stringer 1
79599 Tektronix 11801C Digital Sampling Oscilloscope 1
79601 Tektronix 2432A Digital Oscilloscope, 2 channel, with GPIB 1
79590 Tektronix TDS 544A Color 4 channel digitizing oscilloscope 1
76613 Tektronix TDS694C Digital 3 GHz real-time oscilloscope 1
21064 TEL TOKYO ELECTRON MB2 730 HT HT CVD SYSTEM, 2 CHAMBER WSi Process 1
21270 TEL TOKYO ELECTRON MB2 730HT CVD SYSTEM, 3 CHAMBER WSi Process 1
78124 TEL TOKYO ELECTRON P8 Wafer Prober 1
2181 TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch 1
100721 Teradyne Catalyst Mixed Signal Tester 1
100901 TERADYNE J750E-100 Test System 1
78361 Teradyne J971SP (Spares) Boards from VLSI test system 1
54232 Teradyne J994 Memory Tester 1
100896 TERADYNE Neptune 635-850-00 Validation Tester 1
100897 TERADYNE Neptune 635-850-10 Validation Tester 1
100710 Ultron Systems UH 110 Backgrinding Film Remover 1
100895 Ulvac NE-950EX V Plasma Etching System 1
84082 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type 1
95409 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type 1
101521 Varian VIISta 3000 High Energy Implanter 1
101025 VAT 14040-je24-0004 HV Gate Valve 1
101026 VAT 14040-je24-0004 HV Gate Valve 1
101027 VAT 14040-je24-0004 HV Gate Valve 1
100756 Veeco D3100V AFM 1
101010 VEECO K465 with Reactor upgrade K465i 2-6" GaN Epitaxy 1
101011 VEECO Veeco V695 8" MOVPE Thin GaN 1
87651 Verigy / Agilent V6000e Test system 1
101017 Versum Materials AP11 Gas Cabinet with GASGUARD Controller 36
15619 VERTEQ FLUOROCARBON RD4500 CLASSIC SRD 2
79594 Vision Engineering Dynascope Inspection Microscope 1
100711 Voetsch VT 4002 Temperature Test Chamber 1
100712 Weiss TS-130 Temperature Shock Test Chamber 1
80238 Weiss TS130 Thermal shock testing chamber 1
 

List 3. The following list is a list of spare parts for sale, including our stock items and other items of spares which recently came available for purchase.

 
▶   DOWNLOAD EXCEL (.XLSX) SPARES CATALOG LIST  
 
SDI ID Manufacturer Model Description Qty
100343 Advance Hivolt OL80001104103 CONV A1010070 High Voltage Power Supply and High Voltage Stack 1
89909 Advantest Hifix for PQFP80 (14 x 20) Hi-fix for Advantest T5371 package type PQFP80 (14 x 20) 1
92009 Advantest T5335P Boards from an Advantest T5335P Test system 18
79588 Agilent 1671G Logic Analyzer 1
79589 Agilent 1671G Logic Analyzer 1
101035 Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator 1
101036 Agilent N8241A, ATO‐S4744, 008 062 Arbitrary Waveform Generator 1
18868 Agilent / Verigy 5335A Universal Frequency Counter 1
18869 Agilent / Verigy E4915A Cyrstal impedance LCR meter 1
10544 Agilent / Verigy / Keysight 4261A LCR METER 1
101029 Agilent/HP, GenRad, Teradyne Spare Parts More than 100 Spare Parts 132
83904 AIR PRODUCTS F-74-VCR REGULATOR PRESSURE 1
71931 Applied Materials 0050-76664 REV A GAS LINE CHAMBER 2 PROCESS WC UPPER MIXE 1
71933 Applied Materials 0150-21344 CHAMBER D INTERCONNECT EMC COMPLIANT 1
11569 Applied Materials 0290-09018 Rev F Heat Exchanger 1
11579 Applied Materials 9200 (Spares for) IMPLANTER 6 INCH TO 8 INCH CONVERSION KIT 1
101369 Applied Materials Various Spares 614
101639 ASM 15-H-076-02 Susceptor Heater 1
101640 ASML 4022.480.1707 SCARA Robot 1
101641 ASML 4022.630.82102 SCARA Robot 1
53270 Astec Powertec 9K2-300-372 Super Switcher Power Supply 2
98445 Asyst LPI 2200 SMIF loader 1
98446 Asyst LPT 2200 SMIF loader 2
94607 Axcelis 11027076C Wafer Disk, 150 mm, Segmented SE-GRTV 1
72111 Axcelis 17091650 SUPP RACK 3
94600 Axcelis AFT 228207 01 01 EMS Power Supply 10 – 60 LAMBDA 1
94591 Axcelis B 07 554 MOTOR GSD 180 TOP 1
94598 Axcelis M 860664-01 ED 111897 High Voltage Glassmann Extraction Power Supply 1
94602 Axcelis P8 KAQ 15PN2 Quadropol Power Supply High Voltage 1
94592 Axcelis PN 1027-0093-0035 Beam Shutter DI 14 1
94595 Axcelis PS WX 75 N12 0XX0 M 863742-02 GLASSMAN High Voltage DC Power Supply 1
94603 Axcelis Rock 4 x Kit Air Jet Replacement 1
94604 Axcelis Rock 4 x Kit Air Jet Replacement 1
84379 BELDEN 8219 IC20 BRAID R-58A/U 1
101642 Brooks WTM-511-2-FWS02-V1-CU ROBOT 1
98451 Brooks / PRI ATM 207 single arm atmospheric wafer handling robot 2
98452 Brooks / PRI ATM 307 single arm atmospheric wafer handling robot 2
98449 Brooks PRI ABM 405 single arm atmospheric wafer handling robot 3
98450 Brooks PRI ABM 407B single arm atmospheric wafer handling robot 2
98453 Brooks PRI DBM 2406 Dual arm Atmospheric wafer handling robot 2
98454 Brooks PRI DBM 2407 Dual arm Atmospheric wafer handling robot 1
98455 Brooks PRI Mag 7 ( for LAM etching ) vacuum robot 002-1600-07 2
98456 Brooks PRI Mag 8 vacuum robot( AMAT Producer GT) 1
98457 Brooks PRI PRE 200/200B wafer pre-aligner 3
98458 Brooks PRI PRE 300/300B wafer pre-aligner 5
84244 Camloc RS-182 GAS SPRING 2
52262 Canon 6736A reticle handling robot for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 1
52162 Canon Bar Mirror Bar Mirror Kit For Canon FPA 3000 series 1
53065 Canon BG3-2090, BG8-3484, bh81970-01 M-POS Pcb for Canon FPA 1550 0
80241 CANON BG4-6745 RC CPU from Canon fpa 3000 series stepper computer 1
80243 CANON BG4-6746 WF/RC IF from Canon FPA 3000 series stepper computer 1
64277 Canon BG4-6777-A301-03 Wafer Feed Hand 1
80249 CANON BG4-7001 BH8-1837-01 PCB 1
80242 CANON BG4-7079 PC chassis from Canon FPA series stepper 1
53048 Canon BG4-8192-000 Intermediate library control board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
80248 CANON BG4-8193 RC LB PCB 1
53064 Canon BG4-8615, BH8-1752-01 DAMPER CD board FOR CANON FPA 1550 MARK 4 SERIES STEPPER 1
53034 Canon BG4-8663 SCSI EXT board Module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52177 Canon BG9-3502, BH8-0672-03 acc-1 Brd 1
52178 Canon BG9-3502, BH8-0672-03 ACC-1 Brd 1
53056 Canon BG9-4757, BH8--1069-01 AF DRV board for Canon FPA 1550 series 1
53062 Canon BG9-4758, BG8-3109, BH8-1070-02 SC/RC board for Canon FPA series steppers 1
53063 Canon BG9-4759, BG8-3110, BH8-1070-02 SC/RC board for Canon fpa 1
53057 Canon BG9-4760, BG83111, BH8-1071-02 SH/RH board for Canon FPA 1550 1
53058 Canon BG9-4761, BG8-3112, BH8-1071-01 SH/RH board FOR CANON FPA SERIES STEPPERS 1
53061 Canon BG9-4762, BG8-3113, BH8-1072-01 PA STAGE board for Canon 1550MarkIV series 1
53060 Canon BG9-4764, BH8-1074-01 PA CCD board for Canon FPA series steppers 1
53059 Canon BH8-1073-01, BG9-4763, BG8-3114 PA SENSER board 1
52263 Canon BH8-1714-01 FM4 Assembly 1
53049 Canon BH8-1768-02 Library Sub Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
53050 Canon BH8-1818-01 LD/PD board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52345 Canon BH8-1819-02, BG4-6811 PA/HS Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52174 Canon BH8-1830-01 BG4-6633 TV BACKPLANE CHASSIS / For FPA 3000 series tools for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52347 Canon BH8-1938-01 A1-M1-2 board 1
52342 Canon BH8-1979-01 EASLCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52341 Canon BH8-1980-01 EASRCD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52338 Canon BH8-2017-01 IL3-CD-PCB for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52346 Canon BH8-2022-01, BG8-3369, BG4-8680 EXP-CD Board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52348 Canon BH8-2065-02, BG4-8805, BG8-3375 CD90-INTLK board for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52367 Canon Chino ES-600 Chart Recorder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52153 Canon Chuck Tool Chuck Tool for EX3, EX4, i4, i5 1
84774 Canon Chuck, 8 inch Chuck for EX3, EX4, i4, i5 1
52264 Canon fan assy Fan assembly for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52164 Canon FPA 3000 (Spares) ALS System for FPA 3000 series 1
53042 Canon FPA 3000 series Cassette holder for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52359 Canon FPA 3000 series HP-UX Workstation A2615A 9.05 10.20 11.00 11.00 11i 1
53074 Canon FPA 3000 series extended reticle library extended reticle library 1
99883 Canon FPA-5000 ES2/ES2+ Spare Parts 1
99884 Canon FPA-5000 ES3 Spare Parts 1
52373 Canon Fuji Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52383 Canon Fuji Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52449 Canon Fuji Denso 4248-E921 2 channels power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52450 Canon Fuji Denso 4248-E921 2 channels power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52385 Canon Fuji Denso 4249-E922 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 0
53045 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 3
53046 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
53047 Canon Fuji Electric PE-LA 5 D Inductive Linear Sensor for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52374 CANON FUJITSU DENSO 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52375 CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52371 Canon Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52377 Canon Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52379 CANON FUJITSU DENSO 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52380 CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52381 CANON Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52384 Canon Fujitsu Denso 4247-E924 1ch power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52448 CANON FUJITSU DENSO 4248-E922 2 channels power supply module for canon fpa 3000 series 1
52446 Canon Fujitsu Denso 4249-E922 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52447 CANON Fujitsu Denso 4249-E923 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52929 Canon Fujitsu Denso 4249-E925 4 channel power supply module for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
53020 CANON Fujitsu denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
53021 Canon Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
53023 Canon Fujitsu Denso 4250-E921 Hi-Voltage power supply for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52182 CANON Fujitsu Denso BH5-3523 Fujitsu denso Power Supply Unit for Canon FPA3000 series 1
52382 CANON FUJITU DENSO 4247-E924 1ch power supply module for i4, iW, i5, i5+, EX3, EX4, EX5, EX6 1
38385 Canon L450G Wafer handling robot 1
80253 CANON LENS MK4 nan 2
53032 Canon Omron APR-S Reverse Phase Relay for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52362 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52364 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
52365 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 2
52366 Canon Shimaden SR25-2P-N-00699609 PDI CONTROLLER for Canon FPA 3000 series iw i4 i5 ex3 ex4 ex5 ex6 1
53041 Canon spares Wafer Cassette holder for FPA 3000 SERIES iw i4 i5 ex3 ex4 ex5 ex6 1
80251 CANON UED2-238 PCB FOR CANON 1550 MK4 STEPPER 1
52265 Canon video impedance adapter 5 channel video impedance adapter 1
52360 Canon Zenith ZPS-250 Multiple voltage power supply 250Watts 1
53066 Canon nan Reticle barcode reader 1
83874 CKD M4SB080-M5 AHM-850 SOLENOID VALVE 5
21122 CLEAN ROOM TABLE CLEANROOM TABLE STEEL CLEANROOM TABLE 1
83569 COMPUGRAPHICS CHROME COPY NIKON 5 TEST RETICLE 1
53025 COMPUMOTOR M57-51 Lead screw 1
21665 CONDOR HCC15 3A + POWER SUPPLY UNIT FOR KLA 7700 SURFSCAN 1
80211 Credence Duo SX PC Credence Duo SX Main PC 2
94590 CTI Cryogenics 80182 G 001 Torr Pump 1
94584 CTI Cryogenics 8033167 Torr High Vacuum Pump 1
94585 CTI Cryogenics 8033167 Torr High Vacuum Pump 1
94586 CTI Cryogenics 8033167 Torr High Vacuum Pump 1
94587 CTI Cryogenics 8033167 Torr High Vacuum Pump 1
94583 CTI Cryogenics 8033168 Torr High Vacuum Pump 1
94588 CTI Cryogenics 8033168 Torr High Vacuum Pump 1
94589 CTI Cryogenics 8116036 G 002 Pump On Board 1
69855 CTI Cryogenics Cryotorr 100 Cryopump 1
54562 CTI cryogenics CRYOTORR-100 Cryopump 1
94593 CTI Cryogenics PN 8135900 G001 Compressor 9600 1
101367 CTI-Cryogenics Various Spares 8
52159 Cymer 4300 Maintenance Tool kit and spare parts 1
101643 Daihen FGA-30G 3kW RF Generator 1
101644 Daihen RTGA-20B 2kW RF Generator 1
84375 DELL PWB 9578D GX B-V0A 1
83627 DENSAN DSB-S17 OUTPUT BOARD SDB-S17 2
70304 EBARA 30024-02 RING, ONE PIECE EBARA FREX 200 1
95421 Ebara 305W Turbo pump controller 1
95420 Ebara 306W Turbo pump controller 1
94582 Ebara ET 1600 W Turbo-Molecular Pump 1
89967 Ebara ET300WS Turbo pump 1
95413 Ebara ET300WS Turbo pump 1
95416 Ebara ET300WS Turbo pump 1
95417 Ebara ET300WS Turbo pump 1
95418 Ebara ET300WS Turbo pump 1
80031 EDA Industries PCBA 05431 DRIVER module for BIB oven 6
80082 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 3 x PSU 1
80042 EDA Industries PCBA 05568 REV 1.2 Pattern test Driver module for BIB oven with 6 drivers 1
80052 EDA Industries PCBA 05568 REV 1.3 Pattern test Driver module for BIB oven with 3 x PSU 1
80040 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven 1
80041 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver module for BIB oven 1
80080 EDA Industries PCBA 05568 REV 1.4 Pattern test Driver PCB for BIB oven 1
80001 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven 1
80030 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven 1
80045 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver module for BIB oven with 6 drivers 1
80081 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers 1
80084 EDA Industries PCBA 05568 REV 1.6 Pattern test Driver PCB for BIB oven with 6 drivers 1
80029 EDA Industries PCBA 05758 UBTS Diagnostic Board for BIB oven 1
80032 EDA Industries PCBA 07009 PTDM TO ART 200 EXTENDER CARD 1
80033 EDA Industries ERNI 914796 RC BURN IN BOARD SOCKET Hard Metric Connectors (154 Contacts, Vertical, Gold Plated). 256
72128 Edwards 040020030 Cable, motor drive, 5M seiko 1
95412 Edwards D150 Dual GRC unit 1
89969 Edwards D150 Dual GRC unit 1
83582 EDWARDS ETM63X PLASMA HEATING CONTROL UNIT 1
95559 Edwards iQDP40 Dry Mechanical Pump 1
72127 Edwards Speedivalve SPEEDI VALVE 1
101646 EDWARDS STP-A2203LVS Turbo Molecular Pump 1
101037 Edwards STPiXA2205C PN: YT63‐1Z‐040 Turbomolecular Vacuum Pump 1
101038 Edwards STPiXA2205C PN: YT63‐1Z‐040 Turbomolecular Vacuum Pump 1
101039 Edwards STPiXA2205C PN:YT63‐1Z‐000 Turbomolecular Vacuum Pump 1
101040 Edwards STPiXA2205C PN:YT63‐1Z‐000 Turbomolecular Vacuum Pump 1
101041 Edwards STPiXA2205C PN:YT63‐1Z‐000 Turbomolecular Vacuum Pump 1
15658 ELCO MMC50-1 POWER SUPPLY 1
83639 ELCO CO. LTD K SERIES POWER SUPPLY 0
83636 ELCO CO. LTD K50A-15 POWER SUPPLY 3
101647 ENI GHW-50 GHW-50 RF Generator-(3KW) 1
83625 ENI HF-3000-50 HARMONIC FILTER ASSY 1
101648 ENI LPG-6A RF GENERATOR 1
100999 ENI OEM-28B-02 RF generator 4
80368 ENI OEM-6J RF GENERATOR 1
84090 ERACOND X3 MINIATURE AIR CYLINDER 1
84080 ERACOND Z3 M20 PD 50 MINIATUR AIR CYLINDER 1
95593 FSI Polaris 3500 (Spares) ATEN CS-122 CPU SWITCH FOR PS/2 PC/AT 1
95583 FSI Polaris 3500 (Spares) DIGI ACCELPORT PCB 55000534 REV F 1
95608 FSI Polaris 3500 (Spares) FSI P/N 8454-AWM-4097-MT BELDEN CABLE, LENGHT 34 CM 1
95607 FSI Polaris 3500 (Spares) FSI P/N POWER CABLE, LENGTH 135 CM 1
95602 FSI Polaris 3500 (Spares) FSI P/N 05D-0167-03A1 POWER SUPPLY, DC BATTERY BACKUP 05D016703A1 1
95598 FSI Polaris 3500 (Spares) FSI P/N 423466-001 REV B Microcontroller Unit 2
95603 FSI Polaris 3500 (Spares) FSI P/N 917410-002C POWER BOX WITH BOARD CT 294159-200C 1
95604 FSI Polaris 3500 (Spares) FSI P/N 917803-001B DWR-DSP CABLE, 25 CM 1
95606 FSI Polaris 3500 (Spares) FSI P/N IB1-7000T , CABLE 2 FEET 0795 1
95605 FSI Polaris 3500 (Spares) FSI P/N IB1-7000T 2 FEET CABLE 0795 1
95592 FSI Polaris 3500 (Spares) GAS SENSOR, FSI P/N 841-004-i 1
95587 FSI Polaris 3500 (Spares) PCB, FSI 10444-01AA 1
95584 FSI Polaris 3500 (Spares) PCB, FSI 200-0097 REV B MVS 8100 FRAME GRABBER 1
95586 FSI Polaris 3500 (Spares) PCB, FSI 33000-472, VME MONOLITHIC 12 SLOT 1
95585 FSI Polaris 3500 (Spares) PCB, FSI 61-0061-10 EXP-BP5 1
95582 FSI Polaris 3500 (Spares) PCB, FSI A/N 294084-200C 1
95588 FSI Polaris 3500 (Spares) PCB, FSI SP 586T (00-065-0015 REV D) SINGLE BOARD COMPUTER 1
95581 FSI Polaris 3500 (Spares) PLAST-O-MATIC PRD075B-PP VALVE 2
95596 FSI Polaris 3500 (Spares) PLASTIC COVER 1
95595 FSI Polaris 3500 (Spares) PLATE ASSEMBLY 1
95580 FSI Polaris 3500 (Spares) Power box for 200 mm note track 1
95594 FSI Polaris 3500 (Spares) POWER SUPPLY, CONVERSION EQUIPMENT CORP. M/N 05D-0189-01A 1
95591 FSI Polaris 3500 (Spares) Power Supply, Densei-Lambda Alpha 250S 1
83516 FSI Polaris 3500 (Spares) Spares for DUV photoresist coater / developer track 1
95574 FSI Polaris 3500 (Spares) 294157-200 REV C PCB, MODULE DRIP AND GUARD INPUTS 1
95577 FSI Polaris 3500 (Spares) IBM 8228 P/N 6091014 Multistation Access Unit 2
95575 FSI Polaris 3500 (Spares) IKEGAMI PM-930A PICURE MONITOR 1
95579 FSI Polaris 3500 (Spares) Patlite SL-V Signal Tower, 2 color, Amber, Red 2
95578 FSI Polaris 3500 (Spares) Proteon P7228 rev h PROTEON PRONET P7228 8 PORT 2
95576 FSI Polaris 3500 (Spares) VT106 10 INCH VGA MONOCHROME MONITOR 2
86277 FSI INTERNATIONAL 308998-001 RX 90 CONTR.1 staubli rx 90 robot control unit 1
84260 FUJI SEIKI & SILENT FA-1612HCB-C FA.FWM. 1
101368 GASONICS Various Spares 7
94596 Genesys nan DC Power Supplies 1
94597 Genesys nan DC Power Supplies 1
101042 Genmark AVR series Cleanroom Vacuum Robot 1
101043 Genmark AVR series (1" 2L15") Cleanroom Vacuum Robot 1
98463 Genmark GB4/3L atmospheric wafer handling robot 1
98464 Genmark GB8-MT-80050102 Dual arm Atmospheric wafer handling robot 1
101044 Genmark Gencobot 9 GPR series Cleanroom Vacuum Robot 1
101045 Genmark GPR series Cleanroom Vacuum Elevator 1
94601 Group 3 1981001 Digital Teslameter 1
52343 Hitachi 377-7592 Power Supply Module for CD SEM 1
52166 Hitachi 545-5515 DC power supply module for CD SEM 1
52301 Hitachi 545-5516 7 Channel Power Supply module 0
52339 Hitachi 545-5521 EVAC PCB FOR HITACHI CD-SEM 1
52312 Hitachi 545-5522 VG board for CD SEM 1
52340 Hitachi 545-5537 IP-PC2 for cd-sem 1
52167 Hitachi 6280H Power Supply Module 4channels 1
53054 HITACHI 6280H (SPARES) SORD Computer for cd sem system 1
101366 Hitachi Various Spares 71
86278 Hitachi 6280H CD SEM (PARTS) 1
13025 IKO LWES 15 C1 R460 S2 Linear way with single bearing block,set of 4 4
83626 IKO NIPPON THOMPSON PS1 LINEAR BEARING 1
83583 INFICON 850-200-G1 LEYBOLD IG 3 VACUUM GAUGE CONTROLLER 1
86677 InTest Test Head CPIT TEP8 / STFLASH EPROM / 1792 Test Head 1
21667 JDS 2214-30 SLQ TT Uniphase LASER FOR KLA 7700 SURFSCAN 1
101649 JEL 10511305 JEL Robot 1
101046 Jel MCR3200C‐ 400‐AM‐ 10358 Cleanroom Handling Robot 1
101047 Jel SCR32000CS‐ 450‐PM Cleanroom Handling Robot 1
100939 Karl Suss MA 25 Mask Holder 2
99937 KAWASAKI 30C08P-C005 ROBOT CONTROLLER 1
99058 Kawasaki 3NS410B Robot type A205 1
98467 Kawasaki 3NS411-F003 atmospheric wafer robot( AMAT CMP) 2
98468 Kawasaki 3NX540B-A302 atmospheric wafer robot( AMAT producer) 1
98469 Kawasaki NS410B-A002 atmospheric wafer robot( AMAT producer) 1
98470 Kensington WFH4D atmospheric wafer robot with pre-aligner 1
31645 KLA 259 Set of 4 Objectives 4
27809 KLA 259 (spares) Reticle inspection system Microscope Head & Msk Holders 1
4288 KLA 710-101836-02 REV D AUTOFOCUS II POWER AMP 1
4289 KLA 710-102570-02 AUTOFOCUS PRE-AMPLIFIER 2
27807 KLA 720-05887-000 MCP Detector Control Chassis 3
34115 KLA 740-401-320 P-N 073-401-320 AIRLOCK 1
34164 KLA 7700 Misc Bracket 1
34135 KLA 7700m 174203 Rev D PCB Flat finder pwd Driver 1
34132 KLA 7700m 181137 Drive Assy PCB 1
34143 KLA 7700m 181830 Rev D, National Instruments AT-GPIB/TNT 1
34154 KLA 7700m 201989 Concave Mirror 1
34123 KLA 7700m 253537 Rev A PCB Microscope Dist 1
34162 KLA 7700m Convex Glass Plate 1
34160 KLA 7700m Detector Assy 1
34144 KLA 7700m EMO Switch 1
34139 KLA 7700m Front Panel PCB 1
34145 KLA 7700m Leadscrew and Servo Motor CMC MT2115-014DF 1
34147 KLA 7700m Mirror Assy with Fiber Optic 1
34161 KLA 7700m Mouse & PCB 240C 1
34167 KLA 7700m Photomultiplier 1
34131 KLA 7700m Pittmann Motor 94337528 Microscope driver 1
34124 KLA 7700m Quartz chuck 1
34121 KLA 7700m robot Dist. PCB 1
34163 KLA 7700m two cables 7 brackets 1
31618 KLA 8100 Block, Pivot ,Keybd P/N 740-03389-000 10
31631 KLA 8100 Bracket P/N 740-05415-000 Rev A 1
31626 KLA 8100 Bracket P/N 740-07893-000 1
31632 KLA 8100 Festo PU-3 Duo air Pipe 1
31629 KLA 8100 Flex Pipe 1
31625 KLA 8100 Ground Strap P/N 810-04308-004 10
31624 KLA 8100 Ground Strap P/N 810-04308-005 12
31620 KLA 8100 Interface cable set P/N 810-09072-002 REV A 2
31621 KLA 8100 MCA Module P/N 720-02847-000 1
31622 KLA 8100 P/N 740-05635-000 REV A 2
31627 KLA 8100 P/N 740-05728-000 2
31628 KLA 8100 P/N 740-07892-000 Rev A 2
31630 KLA 8100 PCB 830-10172-000 Rev 3 1
31614 KLA 8100 PICOAMP 11 P/N 720-02964-000 2
31633 KLA 8100 Plastic Disc 1
31616 KLA 8100 Plate Wafer P/N 731-08507-004 2
31623 KLA 8100 T Piece P/N 471-07945-000 1
34116 KLA AIT 1 Network Card 1
34118 KLA Ceramic table 8" Ceramic Table & Misc Parts 1
4290 KLA VLSI 845 DUPONT VERIMASK 1
34119 KLA nan Misc Lens PCB 1
83580 KLA -TENCOR 2xx (Defect Highlighting PC) Defect Highlighting PC 1
34117 KLA -TENCOR 7700 CASSETTE PLATE + PCB 8" 1
34137 KLA -Tencor 7700m p/n 199958 Rev F PCB PSF Driver SFS75 1
34126 KLA -Tencor 7700m (Spares) Mirror Curved 1
83562 KLA -TENCOR 770O M CONCAVE MIRROR 201969 1
83624 KLA -Tencor 8100 (Spares) load lock assembly for CD-SEM 1
83573 KLA INSTRUMENTS CORPORATIONS 720-0588-000 CONTROLLER CHASSIS 1
83897 KLA TENCOIR 7700 AER DASI-POT 1
21670 KLA Tencor 213780 REV C PCB PFE 4K MASK ASSY SFS 7500 1
21671 KLA Tencor 244143 REV B PCB ADC PFE I/F 576 ASSY 1
83899 KLA TENCOR 259 OPTICAL 2
83895 KLA TENCOR 710-410 PIN DIODE PRE AMP. 1
83555 KLA TENCOR 720-05721000 CONTROL CHASSIS FOR KLA 8100 Column Control Chassis 2
83572 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS 1
83574 KLA TENCOR 720-05887-000 MCP DETECTOR CONTROL CHASSIS 1
83581 KLA TENCOR 720-05888-000 TFE GUN CONTROLLER CHASSIS 1
27808 KLA TENCOR 720-07335-000 ADVANTECH COMPUTER ICP-6751 1
83896 KLA TENCOR 7700 HEDS-6310 522G 1
83898 KLA TENCOR 7700 REV C OPTICAL 1
83900 KLA TENCOR 7700 SPARES 1
83901 KLA TENCOR 7700 SPARES 1
83577 KLA TENCOR 7700 M MODEL 2214-30SLOTT 1
34140 KLA TENCOR 7700M COMPUTER HARD DISK 1
31612 KLA TENCOR 8100 PART 740 05584 000 C CONNECTOR INTERFACE 3
83614 KLA TENCOR HA-200 RADIATION POWER SYSTEMS INC. Mercury Lamp power supply 1
27801 KLA- TENCOR 720-05721-000 Column Control Chasis for KLA 8100 cd sem 3
84409 KLA-Tencor AIT-1 SHIPPING KIT AIT-1 SHIPPING KIT 1
18612 KLA-Tencor 073-401-320 AIRLOK 1
18632 KLA-Tencor 073-401-320 AIRLOK 1
53035 KLA-Tencor 2132 Wafer chuck, 8" 1
53227 KLA-Tencor 251739 CH3 PMT OPTICS ASSY AIT2 1
84215 KLA-TENCOR 259 ASSY181830 1
84093 KLA-TENCOR 259 MASK MICROSCOPE 1
84216 KLA-TENCOR 259 RETICLE ISPECTION SYSTEM MICROSCOPE 1
84217 KLA-TENCOR 259 RETICLE ISPECTION SYSTEM MICROSCOPE 1
84218 KLA-TENCOR 259 RETICLE ISPECTION SYSTEM MICROSCOPE 1
84219 KLA-TENCOR 259 RETICLE ISPECTION SYSTEM MICROSCOPE 1
27790 KLA-Tencor 259 (Spare parts) PCBs for reticle inspection system 1
1691 KLA-TENCOR 259 (spare parts) Reticle Inspection - SPARE PARTS 1
98471 KLA-Tencor 2835i EFEM module Yaskawa 9206 robot with Isoport 1
83645 KLA-Tencor 2xx (SPARES) Control Paddles for reticle inspection system 2
34165 KLA-TENCOR 3005503 OPTICAL ASSY 1
98472 KLA-Tencor 3Di EFEM module Yaskawa 9206 robot withSinfonia loadport 2
18598 KLA-TENCOR 5015 Spare Parts 1
84092 KLA-TENCOR 655-6500504-00 CHUCK 200 MM(8*),2132 1
4959 KLA-Tencor 655-650504-00 8 INCH CHUCK ASSY FOR KLA 2132 1
76358 KLA-Tencor 710-039524-01, rev C PCB from KLA 259 reticle inspection system 1
18609 KLA-Tencor 710-400412-00 ASSY BOARD 1
18604 KLA-Tencor 710-401249-00 Driver Board 1
18599 KLA-Tencor 710-401249-01 DRIVE BOARD 1
18600 KLA-Tencor 710-401249-01 Driver Board 1
18603 KLA-Tencor 710-401536-00 ASSY NO 401536 00 ENCODER INTERFACE 1
18605 KLA-Tencor 710-404146-00 ASSY BOARD 1
18608 KLA-Tencor 712-404056-00 ASSY BOARD 1
18610 KLA-Tencor 712-404056-00 ASSY BOARD 1
18607 KLA-Tencor 730-400083-00 PZT CONTROLLER 1
18606 KLA-Tencor 750-400159-00 MATROX VIP 1024 1
18611 KLA-Tencor 750-400339-00 ASSY BOARD 1
18602 KLA-Tencor 750-40426.. BIT 3 COMPUTER COP 1
18622 KLA-Tencor 750-404260 BIT 3 COMPUTER COP 1
84089 KLA-TENCOR 7600M ACTUATOR ASSY 1
84220 KLA-TENCOR 7700 ASSY 1
34150 KLA-Tencor 7700m 242163 Rev B PCB ADC-PFE Interface S76 1
84091 KLA-TENCOR 7700M 4-CHANNEL PWM MOTOR DRIVE ASSY 1
83932 KLA-TENCOR 7700m OPTICS 1
83918 KLA-TENCOR 7700m PARTS 1
34166 KLA-TENCOR 7700M POSITIONING LASER 1
84410 KLA-TENCOR 7700M SENSOR OPTICAL 1
84411 KLA-TENCOR 7700M SENSOR OPTICAL 1
84412 KLA-TENCOR 7700M SPARES 1
34142 KLA-Tencor 7700m and FLX 184527 Rev H Robot parts Assy 1
34130 KLA-tencor 7700m (Spares) 18458 Rev B $ CH Motor Control 1
34134 KLA-tencor 7700m (Spares) 210617 rev B PCB Filter Optical 1
34153 KLA-Tencor 7700m (spares) Fresnel Lens / Mirror 1
34125 KLA-Tencor 7700m (spares) Mirror Assy Flat 1
34136 KLA-Tencor 7700m (Spares) p/n 099660 Handler back plane PCB 1
34129 KLA-Tencor 7700m (Spares) p/n 172502-F PCB Universal Handler 1
34127 KLA-Tencor 7700m (Spares) p/n 186392A PCB Controller Handler 1
34148 KLA-Tencor 7700m (Spares) p/n 210595 Rev B Optical Filter PCB 1
34152 KLA-Tencor 7700m (spares) p/n 213780 Rev C PCB MASK SFS 1
83622 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM 1
83623 KLA-Tencor 8100 (Spares) Load-lock assembly for CD SEM 1
83630 KLA-TENCOR 8100 (Spares) Load-lock assembly for CD SEM 1
83621 KLA-Tencor 8100 (Spares) Loadlock assembly for CD-SEM 1
18615 KLA-Tencor 851391-101 LH RESEARCH 1
18635 KLA-Tencor 851391-101 LH RESEARCH 1
84054 KLA-TENCOR 860A MOTORIZER S3732 2
84086 KLA-TENCOR ASSY.CBL.GND EATHING STRAP 14
84087 KLA-TENCOR DMO 9-6 SPARES 1
52151 KLA-Tencor Hamamatsu R1924A Photomultiplier 1
83929 KLA-TENCOR MCA MODULE POWER SUPPLY 1
83930 KLA-TENCOR PICOAMP II POWER SUPPLY 2
4958 KLA-Tencor Roll-a-Lift KIT 2135,2138,2230 MOVE 2
27806 KLA-Tencor TFE Gun Controller Gun Controller Chassis Part No 720-05888-000 2
101373 KLA-Tencor Various Spares 274
84088 KLA-TENCOR nan LENS 1
18614 KLA-Tencor nan POWER SUPPLY LAMBDA 1
18634 KLA-Tencor nan POWER SUPPLY LAMBDA 1
34149 KLA-TENCOR 242163 Rev B PCB ADC-PFE Interface S76 PCB FOR KLA 7700M 1
53036 KLA-Tencor Compumotor M575L11 Stepping motor drive 1
35971 KLA-Tencor Corp. 720-05888-000 Electron gun controller for KLA 8100 2
83891 KOGANEI A200-4E1 AIR VALVE 2
83880 KOGANEI AME07-E2-PSL VACUUM EJECTOR 8
83873 KOGANEI BDAS10X30 nan 2
84265 KOGANEI KA CMA AIR CYLINDER 1
84261 KOGANEI PDA S AIR CYLINDER 2
84258 KOGANEI SLIM AIR CYLINDER 4
84270 KOGANEI SLIM AIR CYLINDER 2
84266 KOGANEI TWDA AIR CYLINDER 1
84055 KOGANEI LTD KA.CMA MINI CYLINDER 3
84056 KOGANEI LTD KA.CMA MINI-CYLINDER 1
84057 KOGANEI LTD KA.CMA MINI-CYLINDER 1
83931 KOKUSAI M 152 WRL THERMO COUPLE 11
101365 KOKUSAI Various Spares 26
84773 KOYO LINBERG VF5100B Set of Cleanroom Manuals 1
2873 Lam 4520 (spares) REMOTE CART 1
95408 Lam 4520 (spares) REMOTE CART 1
101652 LAM Research 718-094523-281 9400 8" ESC-(718-094523-281) 1
101653 LAM Research 718-094523-281 9400 8" ESC-(718-094523-281) 1
101657 LAM Research 839-019090-374 Research Kiyo 12" ESC (TYPE:374) 1
101658 LAM Research 839-019090-577 Kiyo 12" ESC-(Type: 577) 1
101662 LAM Research 853-042958-232 VME RACK-(PN:853-042958-232) 1
101370 LAM Research Various Spares 62
101665 LAM/MKS LAM:660-072825-625 MKS:LVG3527A-11BA-05 27MHz RF Generator 1
101666 LAM/MKS LAM:660-124460R635 MKS:C5002-05 2MHz RF Generator 1
83902 LAMBDA LFS-47-48 REGULATED POWER SUPPLY 1
83841 Leybold NT 20 Turbo pump controller 1
33542 Liebherr FKV 3610 Fridge for photoresist 1
94599 MagneTek DS 316 GPD 503 1
83885 MATHESON TRI.GAS ROTAMETER 3
83882 MATSUSHITA BBC 35 N INTERRUPTOR CAP SYS 1
98474 MECS OF 250 wafer pre-aligner(Hitachi CDSEM 8820/8840) 3
98475 MECS UTC 800 atmospheric wafer handling robot( WJ-999) 3
98476 MECS UTC 801P atmospheric wafer handling robot( WJ-1000 2
98477 MECS UTX 1100 atmospheric wafer handling robot(ASM eagle-10) 2
98478 MECS UTX 1200 atmospheric wafer handling robot(ASM eagle-10) 1
99421 Micro Automation 16744 Dicing Wheel 62
84059 MICROSPEED PD-250C PC-TRAC mouse 1
83890 MINERTIA MOTOR RM SERIES INDUCTION MOTOR 1
83523 MITSUBISHI MR-J10A1 AC SERVO 1
69856 MKS Type 624 baratron pressure transducer with trip points 1
101024 MKS Instruments 162-0040K Inline Pneumatic Valve ISO-KF NW 40 flanges 1
72156 MRL Black Max Black max heater element, 850 celcius 1
84372 MS PRG WO A9403789 MOUSE MODEL No.240C 1
98482 Nanometrics Caliper Mosaic EFEM module Brooks Razor robot with Brooks load port 1
98483 Nanometrics Lynx EFEM Kawasaki robot with TDK load port 1
84369 NC NOR-CAL PRODUCTS 0995-16528 CHEMRAZ O-RING INSIDE OF VALVE 1
83887 NEC C1OT 6D TA 0100 THERMOSTAT 1
94594 Neslab 1033-0017-001 Chiller 1
101667 Nikon G6 2" Side Slide Arm 1
101668 Nikon I11 2"Fetch Arm-(155.1x18) 1
101669 Nikon I9C,I11 2"Fetch Arm-(155.2x20) 1
32206 NIKON RETICLE BOX 5 INCH 5 INCH RETICLE BOX 2
101371 NIKON Various Spares 771
83628 NITSUKO BCR 2600/BCV 5050 POWER SUPPLY 3
32214 Nitto 44941001 TAPE SPOOL 2
101670 NOVELLUS 02-134263-00 8" ESC 1
101374 Novellus Various Spares 88
80254 OLYMPUS DBAP-FA-Z SERVO DRIVER 1
83570 OLYMPUS KWM15 Microscope for KLA 51xx 1
80247 Olympus Optical DBAP-FA-Z GA Servo Driver 1
13195 OMRON r88d-ua02ha servo driver 1
83886 OMRON TL-W5WC2 PROXIMITY SWITCH 1
21666 ONE AC CORP FMV 321S TRANSFORMER FOR KLA 7700 SERIES PSU 1
21123 ORIEL 68805 POWER SUPPLY 40-200 WATTS FOR MERCURY ARC LAMP 1
83620 ORIEL 68805 ULTRAVIOLET LIGHT - LAMP HOUSING 1
83805 ORIENTAL MOTOR 21K6GN-A nan 1
83809 ORIENTAL MOTOR 2IK6GK-A MOTOR ELECTRIC 1
83806 ORIENTAL MOTOR 51K40GN-AT nan 1
83808 ORIENTAL MOTOR 5rk40gk-a2 MOTOR ELECTRIC 1
83825 ORIENTAL MOTOR MBM425-411 SPEED CONTROL MOTOR 1
83807 ORIENTAL MOTOR PB204-101 nan 2
83811 ORIENTAL MOTOR XU9 MOTOR ELECTRIC FO FR CARRIER MOTOR 1
83824 PANASONIC MF A 020LATNP AC SERVO MOTOR 1
83838 PARKER 60 SERIES QUICK COUPLING FEMALE COUPLER 9
101671 PFEIFFER TCP600 Turbo Molecular Vacuum Pump Controller 1
83933 PM500 09 P MASK RETICLE 1
69874 Power One HPM5E2E2KS228 28 V Switching Power Supply 2
69875 Power One SPM2E1E1S304 28 V Switching Power Supply 1
83928 PULNIX TM-7EX VIDEO CAMERA WITH FUJI NF35A-2 LENS 1
53053 Rorze RR04L90 Robot 1
98490 Rorze RR701L1521-3A3-111-2 Dual arm Atmospheric wafer handling robot 1
98491 Rorze RR701L90-Z20-616 Dual arm Atmospheric wafer handling robot 1
98492 Rorze RR713L1521-3A3-E13-1 Dual arm Atmospheric wafer handling robot 1
101672 RORZE RR721L202H-H30-1GA-1 Dual-Arm Robot 1
84373 SAMSUNG MR16R0828AN1-CKB 128 MB/8 RAM 2
86303 Sankei Giken TCW-12000 CV Process Module Chiller 1
83836 SCFH AIR 100 PSIG nan 1
100709 Schroff PSM 115 Power Supply Unit 10
71921 Seiko Seiki SCU-1000C Controller for Seiko Seiki STP 1000C Turbo pump 1
69878 SEIKO SEIKI STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40 1
52191 Seiko Seiki STP 301H Turbo Pump Controller Unit 1
20268 SEKISUI VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box 9500
77189 Semco Rack Solar Wafer (156mm) Quartz Rack/Holder for a Furnace 6
99431 Semitec S1425 Dicing Wheel 20
78169 Sensarray 1530D-8-0023 Process Probe Instrumented Wafer 1
78170 Sensarray 1530D-8-0023 Process Prober Instrumented Wafer 1
52363 SHIMADEN SR25-2P-N-00699609 PDI CONTROLLER for FPA3000 Series 1
101674 Shinko BX80-070954-14 Robot Controller LM-ARM-COT2 1
84268 SMC CDG1FA20-222 AIR CYLINDER 1
84262 SMC CDGBN20-204 AIR CYLINDER 3
84269 SMC CDJ2F16 AIR CYLINDER 1
84263 SMC CDM2BZ20-125 AIR CYLINDER 2
84267 SMC CDM2RA20-190 AIR CYLINDER 1
84079 SMC CDY1S15H TESTED 2
84259 SMC CMFN20-50 AIR CYLINDER 1
84264 SMC CMFN20-50 AIR CYLINDER 1
89968 SMC INR-341-61A Triple Loop Chiller 1
84256 SMC WO 36517 RODLESS CYLINDER 1
83505 SORENSEN 220 VOLTS POWER SUPPLY 3
53268 Sorensen SS200-S0120 Power Supply Megatest Part number 113849 3
7689 Special Optics Beam Enlarger for Argon Ion Laser Beam Enlarger for Argon Ion laser 2
7690 Special Optics Fourier Transform Lens Fourier transform Lens for Argon Ion laser 2
53043 Special Optics nan Beam expander 1
53037 Special Optics nan Half silvered mirror 10" X 14" 1
53038 Special Optics nan Motorized Iris 6" 1
101675 SPTS AS320942 Pegasus ESC H.V unit Type A-(PN:AS320942) 1
84414 SQUARED SBO-2 SWITCH 3
86281 ST Automation QT200 (spares) boards from qt 200 test system - see attached list 1
84376 STARTECH GC9SF GENDER CHANGER 1
84297 Staubli 308998-001 RX90 robot controller 1
84022 Sun Ultrasparc 60 Unix computer from Teradyne J994 1
84023 Sun Ultrasparc 60 (Hard Disk Drive) Hard Disk from Unix computer from Teradyne J994 1
69782 Super vexta udk5114n 5-phase driver 1
69817 SUPER VEXTA UDK5114NA 5-PHASE DRIVER 1
101376 SVG Various Spares 77
72155 SVG /ASM 128197-001 HEATER ELEMENT, HCGI 1
83909 SWAGELOK 12M06 TUBE FITTINGS & VALVE 9
83919 SWAGELOK 207/235/332 TUBE FITTINGS 4
83910 SWAGELOK 55-8-VCO-4 TUBE FITTINGS 5
83915 SWAGELOK GLV-4MW-3 WELD FITTINGS 2
83911 SWAGELOK SS-4-VCO-3 TUBE FITTINGS 15
83912 SWAGELOK SS-4-VCO-4 TUBE FITTINGS 9
83913 SWAGELOK SS-605-4 TUBE FITTINGS 12
83522 TDK E S R 05-12R-3 SWITCHING REGULATOR 1
84502 TED PELLA INC CAT 622 M TIN SPHERES ON CARBON 2
86253 TEL TOKYO ELECTRON 2985-429208-W4 ACT 12 2985-429208-W4 ADH SUB UNIT BASE ASSY ADHESIVE MODULE 1
21135 TEL TOKYO ELECTRON UPGRADE FOR SCCM OXIDE TOOL KIT FOR UPGRADE FOR SCCM OXIDE TOOL 1
101372 TEL Tokyo Electron Various Spares 263
99432 Tempress 12467 Series 401 Dicing Wheel 190
83829 TEMPTRONIC THERMO SPOT THERMO SPOT HEATING HEAD 1
83553 TEMPTRONIC TP22-2 TEMPERATURE TEMP SET 1
83576 TENCOR INSTRUMENTS AC 100-120 V POWER SW 1
83575 TENCOR INSTRUMENTS AC 100V POWER SW 1
78168 Teradyne 950-656-00 rev B PCB from test system 1
84243 THK 280L Linear Bearing and guide 1
84252 THK 689 LINEAR WAY WITHOUT BEARING 1
84251 THK A6 C II LINEAR WAY WITH SINGLE BEARING 1
84247 THK A6F 598 LINEAR WAY WITH SINGLE BEARING 2
84249 THK ATHI240 LINEAR WAY WITH SINGLE BEARING 1
84248 THK HSRIZRI/UUM+490LM LINEAR WAY WITH SINGLE BEARING 1
84257 THK KS 3J22 RELIANCE BEARING 1
70303 THK LMT40UUM+489LFM LEADSCREW FOR EBARA FREX 200 2
84245 THK LWHS15 LINEAR WAY WITH SINGLE BEARING 3
84246 THK RSR 15 LINEAR WAY WITH 7 BEARING 1
84253 THK RSR12VM LINEAR WAY WITH 2 BEARING 1
84250 THK Y8A31 LINEAR WAY WITH SINGLE BEARING 1
83879 THK CO.,LCD FBA 5 FLAT BALL 2
83877 THK CO.,LCD OR17 BLOCK SR-2V 5
83878 THK CO.,LCD SC35uu LM CASE UNIT 2
83832 TOKIO ELECRON 003 FITTING TUBE 1
83833 TOKYO ELECRON 011 SUPPORT.PCB..SQ-80 1
83831 TOKYO ELECRON 015 RELAY 1
72140 Varian E11001320 REV B TARGET, FOCUS, FARADAY 1
72141 Varian E11002183 PEDESTAL ASSY,MULTI 150/200MM 1
72134 Varian E11002430 WAFER COOLING CONTROLLER 2
92387 Varian E11030450 REV 3 VERT SCAN ACTUATOR 2
72142 Varian E11037750 REV 5 X',SOURCE HOUSNG,EXTRACTION MANIPULATOR 1
92468 Varian E11040440 Rev 7 Secondary workstation for implanter 1
72133 Varian E17015570 SCANACT,COUNTER WEIGHT 2
72138 Varian E17032320 CHASIS GUIDE M FRME ESSERV 2
72136 Varian E17064301 BEAM SHIELD 1
84082 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type 1
95409 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type 1
101375 Varian Various Spares 31
72151 Varian various VARIAN SOURCE PARTS 5
72146 Varian nan BEAM SHIELD 1
72150 Varian nan ISOLATION VALVE PARTS 1
72149 Varian nan MKS HPS VALVE 62161 1
72148 Varian nan SOURCE COVER 1
72147 Varian nan VARIAN GRAPHITES 3
101676 VAT 12136-PA44-ACZ1/0286 VACUUM GATE VALVE 1
101025 VAT 14040-je24-0004 HV Gate Valve 1
101026 VAT 14040-je24-0004 HV Gate Valve 1
101027 VAT 14040-je24-0004 HV Gate Valve 1
83907 VERIFLO CORP. 42800147 PRESSURE VALVE 1
101017 Versum Materials AP11 Gas Cabinet with GASGUARD Controller 36
83826 VEXTA UPH569H-B STEPPING MOTOR 1
82219 WAVECREST dts-2070c (-52) Credence Duo Wavecrest digital time controller 2
83835 WHK 10X/20L-H LENS FOR MICROSCOPE 2
94605 Xantrex XFR 40-70 NV8200P DC Power Supply Magnet 1
84377 XSGTR nan ASSY.CBL.EF CONTROL.SNIPER 1
98498 Yaskawa XU RCM9206 atmospheric wafer robot( KLA 2835i) 2
98499 Yaskawa XU RSM53E0 atmospheric wafer robot( Ebara Frex 300 CMP) 2
 
 
 

We also buy your surplus equipment and spare parts. Here is a list of recent wanted items, also attached in excel.  

SDI ID Manufacturer Model
27394 6 inch COMPLETE WAFER FAB LINE
27398 8 INCH COMPLETE WAFER FAB LINE
27173 ADE Ultragauge 9700 or 9500
27395 Advantest T5588
100889 Aixtron 2400 G3
27956 Applied Materials 0010-03254
26744 Applied Materials 0660-01542
27104 Applied Materials centura 5200 dxz
27597 Applied Materials Centura DPS, Centura SiGen, EPI Centura, 200 mm
27294 Applied Materials Centura Ultima HDP CVD
100894 APT 3245
27684 ASM Pulsar 2000
26720 ASML Twinscan XT 1900Gi
28368 AXCELIS 1173930C
28181 Axcelis Nv GSD 200E
26633 Biorad QS500
27310 Canon es4
28007 Canon FPA5510IZ
27145 cymer xla 240
100890 Daitron WBM-2200
26963 Hamamatsu Phemos
26603 Hitachi s3000n
101361 K&S Iconn
26791 Keithley S600
27051 KLA ALTAIR 8920
27171 KLA CANDELA CS20
27355 KLA CANDELA CS20
27121 KLA sp1-tbi
100898 KLA-Tencor Alphastep 500
26632 KLA-Tencor rs75
27940 KLA-Tencor SP3
100988 KLA-Tencor SP3 (located in USA)
27461 KLA-Tencor uv1280se
26561 Lam TCP 9400
27278 Mattson 2800
26470 Mattson Aspen II ICP
27689 Meco EDF + EPL 2400
26967 MULTITEST MT9928
27213 Picosun P300
100892 Rigaku 3700H
27554 SDI FAaST-330
26570 SEN NV-GSDIII-180
27102 Spire any
28146 Suss Microtec MJB4
26943 TEL Tokyo Electron Alpha 8
27501 TEL Tokyo Electron P8XL
27188 TEL Tokyo Electron unity ME
27533 TEL Tokyo Electron vip3a boards and vip 4 boards
101360 TSK UF200S
26467 ulvac EBX 2000
26466 ulvac ei5
28369 VARIAN E19000601
26469 Varian M2i
27256 Verigy / Agilent 4071a
26562 Verigy / Agilent 4073b
27531 Verigy / Agilent PS1600
27419 Verigy / Agilent PS9G board
26995 weiss or other HASS Chamber
101023 Yield Engineering YES 6P

If you have any of the above tools for sale, then please send us the details of the tools you can offer.

Since the last 22 years, we have continuously run world-wide marketing campaigns to promote Semiconductor, Assembly, SMT, Test , LED, Flat-Panel-Display and Solar equipment for sale, using many sales channels including our global network of contacts built up over the years, our experienced sales team and our advanced software algorithms and database. By use of advanced marketing technology, we enable a win-win both for Fabsurplus and our customers by maximising the cash return from the sale of surplus assets. Contact me now to learn about how we can help you through our Equipment Remarketing Program.



Thanks so much for your kind attention, and we look forwards to getting your equipment requests and lists of surplus tools for sale soon.

Yours sincerely,

SDI Fabsurplus 20 years

SDI Fabsurplus LLC

Stephen Howe
Company Owner
email: info@fabsurplus.com
Mobile:(USA) +1-830-388-1071
Mobile:(Italy) +39-335-710-7756
Skype: stephencshowe


WWW.FABSURPLUS.COM
Linkedin: https://www.linkedin.com/company/sdi-fabsurplus
Twitter: https://twitter.com/fabsurplus
Instagram: www.instagram.com/fabsurplus
Facebook: www.facebook.com/fabsurplus
 
 
 
Our mailing address is:
SDI Fabsurplus LLC - 1001 S.Main Ste. 3, Boerne, Texas, 78006 - USA

 
Want to change how you receive these emails?
You can unsubscribe from this list