Centrotherm_E2000_photo_1

Closed-Bid Warehouse Clearance

Dear Customers and Friends,

We are having a COVID-19 inventory reduction sale of excess, unsold items located at warehouses in Texas, Italy and Malaysia.

All items must go in our closed-bid sale as follows:


Viewing of Assets

You are very welcome to come and view any of the assets by appointment. 

To arrange an viewing, please send a mail to: 

info@fabsurplus.com 


Submitting a Bid

All assets will be sold by “Closed bid”.

TO SUBMIT A BID, PLEASE COMPLETE AND SIGN THE ATTACHED BID SUBMISSION FORM AND SEND IT TO: info@fabsurplus.com 


Closing Date

All bids should be submitted before 17:00 on Friday, 5th June , California Time .

You will be notified of your winning bids within 48 hours of the closing date.


Reserve Prices and Minimum Total Bid

The amount of the bid submitted for each lot must be equal to or higher than the reserve price. 

The minimum total bid is $7,500 USD.


Asset Catalogue

For further details on each line item, please visit the Website links shown in the Excel file:


SDI ID Manufacturer Model Description Qty Minimum Bid (USD)
54226 Accretech TSK MHF300L Test head manipulators 1 $5,000.00
95398 Accretech TSK MHF300L Test head manipulators 1 $5,000.00
95399 Accretech TSK MHF300L Test head manipulators 1 $5,000.00
95400 Accretech TSK MHF300L Test head manipulators 1 $5,000.00
95401 Accretech TSK MHF300L Test head manipulators 1 $5,000.00
95402 Accretech TSK MHF300L Test head manipulators 1 $5,000.00
95403 Accretech TSK MHF300L Test head manipulators 1 $5,000.00
89909 Advantest Hifix for PQFP80 (14 x 20) Hi-fix for Advantest T5371 package type PQFP80 (14 x 20) 1 $5,000.00
92009 Advantest T5335P Boards from an Advantest T5335P Test system 18 $5,000.00
78639 Advantest T5335P (Spares) Spare Boards from test system (See attached list for details) 1 $5,000.00
87652 Advantest T5371 Test system (With a single test head ) 1 $5,000.00
99380 ADVANTEST T5771ES Automated Test Equipment 1 $5,000.00
76604 ADVANTEST TR6846 Digital Multimeter 1 NO RESERVE
99379 ADVANTEST T5375 Automated Test Equipment 1 $25,000.00
18868 AGILENT 05335-90021 5335A universal frequency counter 1 NO RESERVE
79588 Agilent 1671G Logic Analyzer 1 NO RESERVE
79589 Agilent 1671G Logic Analyzer 1 NO RESERVE
76605 Agilent 41501B SMU and PGU 2 units 1 $3,000.00
18869 AGILENT E4915-90030 AGILENT cyrstall impedance LCR meter 1 NO RESERVE
10544 Agilent / Verigy / Keysight 4261A LCR METER 1 NO RESERVE
2669 ANGELANTONI T600 TU5 Large Clean-room Oven with internal blowers 1 NO RESERVE
10637 Angelantoni T600 TUS Large Clean-room Oven with internal blowers 1 NO RESERVE
34740 AP & S TwinStep-B H3P04 Semi-Automatic H3PO4 2 stage Megasonic QDR 1 $5,000.00
11568 Applied Materials 0020-0323 REV H Heat Exchanger 1 $1,000.00
11569 Applied Materials 0290-09018 Rev F Heat Exchanger 1 $1,000.00
83514 Applied Materials Opal 7830i Enhanced CD-SEM 1 $5,000.00
3419 Applied Materials P5000 CVD System, 2 Chamber TEOS Oxide CVD 1 $35,000.00
84765 Asyst 1150-V1315S SMIF Load port 150mm 1 NO RESERVE
95404 Asyst 1150-V1315S SMIF Load port 150mm 1 NO RESERVE
54859 Baccini 35MW Solar Cell Line Solar Cell Print line for Mono or Poly Crystalline Solar Cells 1 $300,000.00
77017 Baccini Cell electrical tester Electrical Cell tester 1 $20,000.00
77013 Baccini CHIP AND CRACK CAMERA Chip and Crack camera 1 $20,000.00
77021 Baccini Dryer 1 Dryer 1 1 $20,000.00
77022 Baccini Dryer 2 Dryer 1 1 $20,000.00
77009 Baccini Screen Printer 2 screen printer 1 $20,000.00
77010 Baccini Screen Printer 3 screen printer 1 $20,000.00
52164 Canon FPA 3000 (Spares) ALS System for FPA 3000 series 1 $5,000.00
68025 Canon FPA 5000 ES2+ 248 nm lithography exposure system 1 $75,000.00
56144 Centrotherm Centronic E2000 Horizontal diffusion furnace for POCl3 doping 1 $50,000.00
56140 CentroTherm DO 12.000-200-FF-HTO-CAN-NT4.0 Fast Firing Funace with Dryer 1 $20,000.00
80083 COLUSSI UG 50 E AUTOCLAVE FOR STERILIZATION 1 NO RESERVE
78638 Credence Duo SX (Spare Parts) SPARE PARTS FROM AUTOMATED TEST SYSTEM 1 $5,000.00
87089 Credence Personal Kalos I Test system 1 $2,000.00
79586 DATA IO UNISITE 68 EPROM PROGRAMMER WITH USPIN 84 1 $500.00
77666 Digital Analysis PH10 Adjustment system PH Adjustment system 1 $5,000.00
95410 Ebara 305W Turbo pump controller 1 $1,000.00
95411 Ebara 305W Turbo pump controller 1 $1,000.00
95421 Ebara 305W Turbo pump controller 1 $1,000.00
95419 Ebara 306W Turbo pump controller 1 $1,000.00
95420 Ebara 306W Turbo pump controller 1 $1,000.00
79394 Ebara A30W Vacuum Pump 1 $3,000.00
79395 Ebara A30W Vacuum Pump 1 $3,000.00
89967 Ebara ET300WS Turbo pump 1 $3,000.00
95413 Ebara ET300WS Turbo pump 1 $3,000.00
95414 Ebara ET300WS Turbo pump 1 $3,000.00
95415 Ebara ET300WS Turbo pump 1 $3,000.00
95416 Ebara ET300WS Turbo pump 1 $3,000.00
95417 Ebara ET300WS Turbo pump 1 $3,000.00
95418 Ebara ET300WS Turbo pump 1 $3,000.00
80052 EDA Industries PCBA 05568 REV 1.3 Pattern test Driver module for BIB oven with 3 x PSU 1 NO RESERVE
89969 Edwards D150 Dual GRC unit 1 $5,000.00
95412 Edwards D150 Dual GRC unit 1 $5,000.00
81822 Edwards E2M40 FSPX Rotary Vacuum Pump with oil filter 1 $2,000.00
95559 Edwards iQDP40 Dry Mechanical Pump 1 $3,000.00
54217 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 3 $5,000.00
54218 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 $5,000.00
54219 Edwards iQDP80 / QMB1200 Dry Vacuum Pump combo 1 $5,000.00
54222 Edwards QDP80 Dry Vacuum Pump 1 $2,000.00
54220 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo 1 $5,000.00
54221 Edwards QDP80 + QMB 250F Dry Vacuum Pump combo 1 $5,000.00
78132 Electroglas Horizon 4085X Fully Automatic Prober with an inker 1 $5,000.00
92047 ELES ART 200 Burn In Board testing system 1 $5,000.00
99387 ELES ART 200 Debug Station for Reliability Test System 1 $3,000.00
79885 Elind 3232 power supply 0-3,2A current adj – 0 – 32 V voltage adj 1 NO RESERVE
79887 elind 328 power supply 0-0,8A current adj – 0 – 32 V voltage adj 1 NO RESERVE
79596 Elind KL 1200W Laboratory Power supply 8 NO RESERVE
83513 Entegris RSPX-EUV-036 EUV Reticle stocker 1 $10,000.00
83739 ESI 44 LASER TRIMMER SPARE PARTS 1 $5,000.00
83515 Extraction Systems TMB 150 Photoresist Contamination Monitor System / Total Amine Analyzer 1 $5,000.00
1557 FORTREND F6000QS 6 INCH WAFER TRANSFER 1 NO RESERVE
95405 Fortrend F6000QS 6 INCH WAFER TRANSFER 1 NO RESERVE
83516 FSI Polaris 3500 (Spares) Spares for DUV photoresist coater / developer track 1 NO RESERVE
95407 Gigi Molina Brevetti Plastici SpA Custom Manual wet bench 1 NO RESERVE
79600 Gigi Molina Brevetti Plastici SpA Custom Manual wet hood 1 NO RESERVE
95406 Gigi Molina Brevetti Plastici SpA Custom Manual wet hood 1 NO RESERVE
76735 GL Automation IDSCOPE Wafer bar code reader 1 NO RESERVE
76736 GL Automation IDSCOPE Wafer bar code reader 1 NO RESERVE
76737 GL Automation IDSCOPE Wafer bar code reader 1 NO RESERVE
76738 GL Automation IDSCOPE Wafer bar code reader 1 NO RESERVE
76739 GL Automation IDSCOPE Wafer bar code reader 1 NO RESERVE
79892 Gossen Konstanter IEC625 Power supply Gossen Konstanter UOP 1 NO RESERVE
71907 Hamamatsu C7103 PC Controlled IC Back-side Lapping and Wafer Grinding System 1 $4,000.00
36259 HITACHI S-9300 SEM – CD (CRITICAL DIMENSION) 1 $200,000.00
56141 Innolas ILS 700P Laser Edge Isolation 1 $10,000.00
56310 Jonas and Redmann Q2 WHD A Loader for Centrotherm E2000 furnace 1 NO RESERVE
79595 K Tech Engineering BK04A Blister tape applicator for microelectronic components 1 $1,000.00
76802 KEITHLEY 487 PICO AMMETER 1 NO RESERVE
71632 KLA-TENCOR 2122 WAFER DEFECT INSPECTION 1 $5,000.00
1680 KLA-TENCOR 2132 bright-field WAFER INSPECTION 1 $5,000.00
76682 KLA-TENCOR AIT UV Dark field wafer particle inspection system 1 $75,000.00
2873 Lam 4520 (spares) REMOTE CART 1 NO RESERVE
95408 Lam 4520 (spares) REMOTE CART 1 NO RESERVE
15066 LAMBDA PHYSIK Novaline K2005 EXCIMER LASER 1 NO RESERVE
33542 Liebherr FKV 3610 Fridge for photoresist 1 NO RESERVE
79571 Mazzali Climatest C320G5 Temperature and humidity testing chamber 1 NO RESERVE
79572 Mazzali Climatest C320G5 Temperature and humidity testing chamber 1 NO RESERVE
80038 MDA Scientific System 16 Toxic Gas Monitor 1 NO RESERVE
4007 MDC (Materials Development Corp.) DUO CHUCK CSM16 CV Measurement system 1 NO RESERVE
71902 Microcontrol MWE Plus UV Wafer Eraser with cassette loading 1 NO RESERVE
79593 Minato Electronics 1940 EPROM Programmer 1 NO RESERVE
79592 Minato Electronics 1940 EPROM Programmer with additional memory 1 NO RESERVE
72156 MRL Black Max Black max heater element, 850 celcius 1 NO RESERVE
77665 Neslab HX-2000 75 KW Recirculating Chiller 1 NO RESERVE
99386 NEXTEST MAVERICK PT I Automated Test Equipment 1 $5,000.00
99383 NEXTEST MAVERICK PT II Automated Test Equipment 1 $5,000.00
99384 NEXTEST MAVERICK PT II Automated Test Equipment 1 $5,000.00
99385 NEXTEST MAVERICK PT II Automated Test Equipment 1 $5,000.00
99381 NEXTEST MAGNUM I EV Automated Test Equipment 1 $5,000.00
99382 NEXTEST MAVERICK PT II Automated Test Equipment 1 $5,000.00
71760 Oxford Plasma Technology DP80 PE CVD 1 $10,000.00
31246 PMS Liquitrack 776200 Non volatile residual Monitor 1 NO RESERVE
54210 Poly Design Inc. Custom Heated Quartz Boat storage / drying system 1 NO RESERVE
77670 Rasco BCU-750 Brine Chiller 1 NO RESERVE
57773 Rena Etcher In-Line Etching System 1 $20,000.00
53053 Rorze RR04L90 Robot 1 $2,000.00
76973 Roth & Rau Chiller Chiller 1 $2,000.00
56813 Roth & Rau SiNA Plus PECVD – Deposition of Silicon Nitride 1 $50,000.00
79602 Salon Teknopaja OY PWB Printed Wire Board Level Drop Tester with Solder Joint Reliability tester 1 $2,000.00
79889 Sanitas EG Multilevel EPROM Programmer 1 NO RESERVE
86303 Sankei Giken TCW-12000 CV Process Module Chiller 1 $1,000.00
69878 SEIKO SEIKI STP 1000C TURBO PUMP TMP 100C 250 ISO-K/KF40 1 $1,000.00
20268 SEKISUI VANTEC SIGMA 200 K1 Antistatic 200 MM Wafer shipping box 9500 $5,000.00
54208 Seminet Infinity SACS 251216-120-CE Semi-Automatic Carousel Boxed Reticle Stocker 1 NO RESERVE
84365 Semitool A72-20M SRD Rotor 1 NO RESERVE
84364 Semitool PA7230M SRD Rotor 1 NO RESERVE
84351 Semitool ST-240 Spin Rinse Dryer 1 NO RESERVE
84342 Semitool ST-921R-AA Spin Rinse Dryer 1 NO RESERVE
76610 SHOWA 341 Laboratory Power Supply – 4 channel 1 NO RESERVE
76611 SHOWA ELECTRONICS 511-16 REGURATED DC POWER SUPPLY 1 NO RESERVE
87607 SMC INR-341-59A DUAL CHILLER 1 $5,000.00
89968 SMC INR-341-61A Triple Loop Chiller 1 $5,000.00
73208 Solitec 5110 Spin Coater 1 $2,000.00
83505 SORENSEN 220 VOLTS POWER SUPPLY 3 NO RESERVE
95233 ST Automation MT 32 SX Fully Automated Memory Test System for BIST and NAND Memories 1 NO RESERVE
71910 ST Automation MT32 Flash Memory Test System 1 NO RESERVE
71908 ST Automation PTM1 Flash Memory Tester 3 NO RESERVE
80177 ST Automation QT200 Automated Tester System with monitor 1 NO RESERVE
80178 ST Automation QT200 Automated Tester System with monitor 1 NO RESERVE
80179 ST Automation QT200 Automated Tester System with monitor 1 NO RESERVE
80180 ST Automation QT200 Automated Tester System with monitor 1 NO RESERVE
80181 ST Automation QT200 Automated Tester System with monitor 1 NO RESERVE
80182 ST Automation QT200 Automated Tester System with monitor 1 NO RESERVE
80183 ST Automation QT200 Automated Tester System with monitor 1 NO RESERVE
80184 ST Automation QT200 Automated Tester System with monitor 1 NO RESERVE
78133 ST Automation QT200 Test System 1 NO RESERVE
78137 ST Automation QT200 Tester System with monitor 1 NO RESERVE
86281 ST Automation QT200 (spares) boards from qt 200 test system – see attached list 1 NO RESERVE
78138 ST Automation R.S.V. ST Memory Test System Electronic Automation 1 NO RESERVE
71904 ST Automation test head test head for Eprom U 1835 1 NO RESERVE
79584 STS 320 PC Reactive Ion Etcher 1 $10,000.00
33414 SYNAX SX3100 Handler Ambient/Hot 1 $5,000.00
33413 SYNAX SX3100 Handler Ambient/Hot 1 $5,000.00
79888 System General T9600 Universal Device Programmer 1 NO RESERVE
78136 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 1 NO RESERVE
80089 Sytrama MTM 32 V01 ST Test Head Manipulator QT 124 1 NO RESERVE
79599 Tektronix 11801C Digital Sampling Oscilloscope 1 NO RESERVE
79601 Tektronix 2432A Digital Oscilloscope, 2 channel, with GPIB 1 $500.00
79597 Tektronix PS 280 DC Power supply 1 NO RESERVE
79590 Tektronix TDS 544A Color 4 channel digitizing oscilloscope 1 $1,000.00
76613 Tektronix TDS694C Digital 3 GHz real-time oscilloscope 1 $1,000.00
21064 TEL TOKYO ELECTRON MB2 730 HT HT CVD SYSTEM, 2 CHAMBER WSi Process 1 $10,000.00
21270 TEL TOKYO ELECTRON MB2 730HT CVD SYSTEM, 3 CHAMBER WSi Process 1 $10,000.00
78124 TEL TOKYO ELECTRON P8 Wafer Prober 1 $9,500.00
78131 TEL TOKYO ELECTRON P8XL Fully Automatic Wafer Prober (Gold Chuck) 1 $20,000.00
2181 TEL TOKYO ELECTRON TE 5480 Nitride Plasma Reactive Ion Etch 1 NO RESERVE
78361 Teradyne J971SP (Spares) Boards from VLSI test system 1 $5,000.00
54232 Teradyne J994 Memory Tester 1 NO RESERVE
87615 United Detector Technology, Inc. 40X Laser Power Meter 1 NO RESERVE
92468 Varian E11040440 Rev 7 Secondary workstation for implanter 1 NO RESERVE
84082 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type 1 $1,000.00
95409 Varian Turbo-V 250 MacroTorr Turbo Pump DN ISO 100 Type 1 $1,000.00
99388 VERIGY V4000 Automated Test Equipment 1 $5,000.00
99389 VERIGY V4000 Automated Test Equipment 1 $5,000.00
99390 VERIGY V4000 Automated Test Equipment 1 $5,000.00
99391 VERIGY V6000 Automated Test Equipment 1 $10,000.00
87651 Verigy / Agilent V6000e Test system 1 $10,000.00
15619 VERTEQ FLUOROCARBON RD4500 CLASSIC SRD 2 $2,000.00
79594 Vision Engineering Dynascope Inspection Microscope 1 $1,000.00
80238 Weiss TS130 Thermal shock testing chamber 1 $3,000.00

Wanted Items

We also buy equipment. We are currently searching for the following items for immediate purchase. If you have used equipment to sell, please send us the details and we will remarket it via our global network of sales agents and offices:-

WANTED# MANUFACTURER / MODEL / DESCRIPTION

93866 8 inch Complete wafer fab line

95014 Accretech TSK APM90 Prober

97184 ADE 9500 wafer flatness measurement, 200 MM

95571 ADVANTEST V93000 PS1600 tester

86662 Advantest T5503A Automated Test System

95432 Advantest T5588 Automated Test System

99273 Advantest T5588 Test system

96989 ADVANTEST T5503 or T5503A Automated Test System

84778 Advantest / アドバンテスト 5503A Automated Test System

88363 Affinity CAA–002H-BB06CBD3 chiller

99434 AG Associate AG610 RTP Heatpulse

93859 Agilent HP4073A Automated test system

84113 Agilent / Verigy 4072A or 4072B Parametric Tester

95064 Agilent / Verigy / Keysight 4082F Automated Test System

84526 AMAT 0010-05254 200 MM DXZ HEATER (New or refurbished)

99435 AMAT Ultima Plus  200mm

93815 AMAT 0010-12814 Dual Zone Ceramic Heater

96654AMATReflexion LK

97185 AMAT Producer Dual Wafer System

92855 AMAT  TxX Chamber Endura TxZ Chamber 

84024 Applied Materials 0010-1286 MCA E-CHUCK

84553 Applied Materials PI-9500 Implanter

89951 Applied Materials Mirra Desica CMP Polisher

86624 Applied Materials Verity 4i+ or 5i CD SEM

84083 Applied Materials 0010-12814 Dual Zone Ceramic Heater 200mm HI7 RIGID TE (refurbished)

96380 Applied Materials 0660-01542 VME 21 slot Back plane card

86671 Applied Materials Endura 2 TxZ Chamber

97186 Applied Materials centura 5200 dxz CVD System

97447 Applied Materials Centura 5200 CVD TiCl4 4 Chamber 

87830Applied PrecisionProbeworx 300

90657 asm a400 Vertical Furnce – LPCVD

86666 ASM POLYGON 8200 ALD

98973 ASM Eagle 60 Wire bonder

90322 ASM Eagle10 TRIDENT PLASMA CVD

90658 ASML XT1400 or newer DUV SCANNER

90665 ASML SERV.502.27400 2500W TCU MKII + UPGRADE SET

89014 ASML 100 I-LINE STEPPER

99283 ASML PAS5500/250C i-line stepper

86247 ASML PAS5500/700D 248 nm lithography

93377 Astex / MKS Semozone Ozone Generator

98701 ATS ESL-60 Chiller

84035 Axcelis Purion XE high energy implanter

95564 AXCELIS 100024770 HVPS 80.5 KVA FAST RECOVERY

93397 Axcelis (Eaton) NV-10-160 Implanter

84202 Balzers LLS 801 sputter – for spares use

89948 biorad qs2200a FTIR

93816 BIORAD QS-408M Manual FT-IR Spectrometer 

93370 Biorad QS2200 ME Wafer Measurement – FTIR

92039 Canon ZMI 1000A  PCB

92355 Canon FPA-3000 EX5 DUV Scanner

92357 Canon FPA-3000 i4 i-line stepper

89035 Canon FPA5510iz I-LINE STEPPER

86291 Cascade summit 12000 prober

86238 Cascade Summit 12000 manual probe station

96080 CDE CDE Resmap 273 Large Substrate Resistivity Mapping system

96089 Complete 1100MM X 1250 MM  TFT Production line

95561 Credence Quartet 671-4408-XX V18 board

95562 Credence  Quartet 671-4461-XX  Credence Quartet tester with PIN card

87400 Cymer ELS 6400 248 nm lasers

98964 Delvotec 5450 wire bonder

98324 DISCO DFL7340  Laser Saw for Stealth dicing process WITH 1045 NM LASER

98864 Disco DWR1722 De-ionized water recycling unit

87369 Disco DFP8160 Wafer Polisher

89023 Disco DFD 6361 Dicing Saw (6″ and 8″  and 12 inch Capable) 

99805 Disco DFD651 Dicing saw

84003 DNS SP-W621 SPIN ETCHER

96556 DNS  SSW 80A-AR Scrubber

99832 Dyna Tech  DT-MSM-1030A Manual Tape Mounter

84601 Esec 2007 Die Attach

93879 ESI 44 Laser trimmer

86673 ETS 364 Automated Test System

90653 EVG Gemini Wafer Bonding system , 200 mm, with 40kN capacity

87091 EVG Gemini FB Wafer Bonder

95557 EVG 520 Semi-automated wafer bonding system

86318 FEI Nanolab 200 Dual Beam FIB-SEM

86471 FEI Helios sem

99278 FEI Helios Nanolab 400  FIB SEM

99285 FEI  Strata 400 Series or similar Dual Beam FIB-SEM

92343 Fluoroware HTC 8020 Box washer, 200 mm

86244 FSI Polaris 300 mm photoresist coater and developer

95721 GT Solar ASF Sapphire Growth Furnaces

96676 Hamamatsu Phemos Emmi

86276 hitachi UA 7200 Asher

87083HitachiS8820

94609 Hitachi S-3400 CD SEM

90321 Hitachi TM 1000 sem

95948 HYPERVISION PTF1 IR Emission Microscope

84604 ICOS CI-T120 Lead Scanner

92341 IPG Photonics JPSA IX6600 UV Laser lift-off system

95241 Jeol JBX5500FS E-beam Lithography System

84070 Jeol JXA-8600  Electron Probe Microanalyser with EDX

92359 JPSA or IPG JPSA IX 6600 or IPGIX-255-LLO UV laser Lift-off system

92417 KARL SUSS ACS200 Photoresist coater

98961 Karl Suss MJB-21 Mask Aligner

96673 KARL SUSS MA6 Mask Aligner

86250 Karl Suss MA200E Mask Aligner

99288 KEITHLEY S425 Test system

89973KLA285714

92856 KLA Archer KLA 5300 or Archer

99442 KLA  Tencor P11 Wafer surface profilometer

96378 KLA  Tencor Aleris 8500 Thickness measurement

93813 KLA – Tencor 5300 Overlay measurement

95572 KLA -TENCOR EV3000 SEM with Oxford EDX

93838 KLA -TENCOR Alpha Step 500 Profilometer

98702 KLA Tencor Aleris 8350 Film thickness measurement system

96542 KLA-TENCOR    P17 Profilometer

96544 KLA-TENCOR    5200XP Overlay

92409 KLA-TENCOR    CERCL2 Macro-Defect

97843 KLA-Tencor CANDELA CS20 wafer inspection

84025 KLA-Tencor SP1-DLS EH2 wafer inspection

96078 KLA-Tencor Sp1 Classic surface particle detection system

99436 KLA-Tencor UV1280SE thin film metrology

84081 KLA-Tencor SP2 XP wafer inspection, 200 mm

84368 KLA-Tencor UV 1280SE FILM THICKNESS MEASUREMENT

92853 KLA-Tencor 5300 Overlay Measurement System

99057 KLA-Tencor 2351 Inspection System

95513 Kokusai DD-833V Etch

96992 Lam 4520XL Dry Etcher

93814 LAM RESEACH A6TM Dry Etcher

98965 Lauffer VSKO 175 Mould press

92418 Leica MIS200 Wafer Inspection Microscope

83995 Leica LMS 2020 Microscope

93817 Leica MIS200 Wafer Inspection Microscope

88984 Leica Z16 APO Microscope

84504 Leybold / Balzers Z660 ION BEAM ETCHER

93887 Lumonics M310 Laser Trimmer

87801 Marangoni IPA Vapor Dryer (Marangoni Drying) EMI Vocus Systems IPA Vapor Dryer (Marangoni Drying)

98853 Mattson 2800 RTP

95618 Mattson Aspen II ICP ICP etch, 8  inch wafers

84600 Mitutoyo VL-50AS Litematic Digimatic Measuring Unit

95128 MKS / Astex AX8403A Ozone Generator

84838 MRSI MRSI-503M FLIP CHIP DIE BONDER

96795 Multitest MT9928 TRI-TEMPERATURE TEST HANDLER

95609 Multitest MT9928 test handler – bowlfeed to canister, dual or tri-temp

99438 Neslab System 4 Chiller

95570 NIKON NSR-S204B DUV scanner with Gigaphoton G20K2 KrF laser

84060 Nikon Fx-702J i-line stepper

84354 Nikon ECLIPSE L300D Inspection Microscope

84114 Nikon I14E2 I-LINE STEPPER

96675 Nikon s204b DUV SCANNER, 248 NM KrF

86317 NISSHINBO 1722N Laminator

90386 Nissin NH20SR implanter

95072 Nordson 1701 RIE 6 or 8 inch

98326 Novellus Innova Next for AL, 300 mm pvd, 300 mm for AL

86322 Novellus C2 Inova Copper damascene deposition

95568 NPC 3BB Stringer stringer 3bb, prefer 2014 vintage

84831 Nuflare EGV-30GX Epitaxial Reactor

84085 OERLIKON PhoenXL 300 Leak Detector

87372 Okamoto GNX-200 B grinder

92420 Olympus GX71 Microscope

84107 Olympus CKX41-binocular Inverted phase-contrast microscope

89005 Olympus AL2100 MICROSCOPE  

94429 Philips Panalytical 2830XT WD-XRF, 200 mm , open cassette loading, Ti, Al and W

99833 Phoenix PCBA Inspector 100 X-Ray inspection machine for PCB

86478 rasco So 1000 test handler with kit for SSOP (TSSOP)

86243 RENA EPM 311F Electroplating Tool 

98327 Rudolph F30 Macro Inspection

84513 Rudolph E25 Wafer edge inspection system

84004 rudolph nsx 115 macro defect inspection system

93818 Rudolph WS3840 3D Bump Metrology

86661 Rudolph f30 macro defect inspection system

99812 SDI FAaST-330 Dielectric Characterization Tool with COCOS & Epi-t for up to 300mm Wafers

88985 Semitool SAT WET

95723 SEN NV-GSDIII-180 IMPLANTER HIGH CURRENT, with SMIF loaders

84010 SEZ DV38 CLEAN

96379 SEZ 203 Spin Etcher

89018 SEZ SP223 no

92354 Shimadzu QP2010SE GCMS

92031 Shinwa Controls Co., Ltd. CPC2 T and H unit for a TEL Mark 7/8

84095 SPTS Sigma I2L PVD SPTS – Sigma i2L Deposition System- July 2010

95115 SSM  530 CV Plotter

84353 Strasbaugh 6DE-DC-1 Polishmaster Optical Polisher

86483 SUSS PA 200 PROBER

99272 SVG Thermco AVP8000 Vertical furnace

98323 symtek 429 test handler

86706 TA Instruments Q50 TGA (Thermogravimetric Analysis 

84359 Takatori ATM-8200 AUTO WAFER MOUNTER

84014 Technos TREX 630T (Twin) TXRF with extended range

84776 TEL Vigus Etch

84548 TEL Mark 7 and Mark 8 Main Arm Robot Assembly

93839 TEL ACT 8 Coater Developer

96651 TEL (Tokyo Electron Ltd) Precio Prober

95563 TEL Tokyo Electron Alpha-8SE-Z Vertical Furnace, LPCVD Process, ONO 

96669 TEL Tokyo Electron Alpha 8 D-poly process, 8 inch, non-smif

87799 TEL Tokyo Electron Alpha 8 SE -E H2 Anneal

86321 Teradyne J750 TEST EQUIPMENT (pARTS)

95569 TERADYNE UPAC 80 boards Boards

99063 Teradyne ETS364 Test platform

95589 Tesec 8101-TT tester

95444 Tesec 8101-TT Tester 

86316 Thermawave TP500XP Implant Dosing Measurement

84027 Toray VE-500? Vacuum Printing Encapsulation System with  Unozawa TS300 Tripack Dry Vacuum Pump

97050 TSK/Accretech UF3000LX Wafer Prober

84226 Ultratech Sapphire 100 Stepper

95615 Ulvac ei5  EVAPORATOR FOR METAL AND OXIDE DEPOSITION prefer 8 inch wafers

95616 ulvac EBX 2000  EVAPORATOR FOR METAL AND OXIDE DEPOSITION prefer 8 inch wafers

84599VarianE220

95617 Varian M2i pvd, 6 inch wafers

93872 Varian E19008650 300 kv hv probe

93399 VARIAN Viision 80 Implanter

88983 Veeco K465i GaN MOCVD System

95727 Verigy / Agilent 4073B PARAMETRIC TEST SYSTEM WITH E3127-69002.

95073 Voetsch VTS4057 Temperature chamber

97045 West Bond 7476E wire bonder

96077 Yield Engineering 450PB12-2P-J Polymide Bake Oven


Thanks so much for your kind attention, and we look forwards to receiving your bids soon.

Yours sincerely,

SDI Fabsurplus LLC

Stephen Howe
Company Owner
email: info@fabsurplus.com
Mobile:(USA) +1-830-388-1071
Mobile:(Italy) +39-335-710-7756
Skype: stephencshowe